data/uhd-4.0.0.0/CODING.md:142: commmit ==> commit
data/uhd-4.0.0.0/CHANGELOG:579: ouptut ==> output
data/uhd-4.0.0.0/CHANGELOG:730: BA ==> BY, BE
data/uhd-4.0.0.0/CHANGELOG:804: tiemout ==> timeout
data/uhd-4.0.0.0/CHANGELOG:879: descirptor ==> descriptor
data/uhd-4.0.0.0/firmware/usrp3/CMakeLists.txt:22: wont ==> won't
data/uhd-4.0.0.0/firmware/usrp3/x300/x300_defs.h:27: Memeory ==> Memory
data/uhd-4.0.0.0/firmware/usrp3/n230/n230_burner.py:214: lengt ==> length
data/uhd-4.0.0.0/firmware/usrp3/n230/n230_burner.py:240: vaild ==> valid
data/uhd-4.0.0.0/firmware/usrp3/n230/n230_debug.py:280: Reseting ==> Resetting
data/uhd-4.0.0.0/firmware/usrp3/lib/link_state_route_proto.c:238: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lib/ethernet.c:310: Begining ==> Beginning
data/uhd-4.0.0.0/firmware/usrp3/lib/ethernet.c:320: Begining ==> Beginning
data/uhd-4.0.0.0/firmware/usrp3/lib/flash/spif_spsn_s25flxx.c:45: Occured ==> Occurred
data/uhd-4.0.0.0/firmware/usrp3/lib/flash/spif_spsn_s25flxx.c:46: Occured ==> Occurred
data/uhd-4.0.0.0/firmware/usrp3/lib/flash/spif_spsn_s25flxx.c:114: tranfer ==> transfer
data/uhd-4.0.0.0/firmware/usrp3/lib/flash/spif_spsn_s25flxx.c:200: tranfer ==> transfer
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwipopts.h:46: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwipopts.h:54: inlude ==> include
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwipopts.h:88: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/README:5: CNA ==> CAN
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/savannah.txt:78: SUCCESFUL ==> SUCCESSFUL
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/snmp_agent.txt:34: doen't ==> doesn't
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/snmp_agent.txt:133: thes ==> this, these
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/rawapi.txt:44: Additionaly ==> Additionally
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/rawapi.txt:352: initalization ==> initialization
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/sys_arch.txt:173: carefull ==> careful, carefully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/sys_arch.txt:175: allcate ==> allocate
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/doc/contrib.txt:42: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/sockets.c:111: occures ==> occurs
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/sockets.c:671: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/sockets.c:697: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/sockets.c:698: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/netbuf.c:179: retreived ==> retrieved
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/tcpip.c:386: miliseconds ==> milliseconds
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/tcpip.c:415: miliseconds ==> milliseconds
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/tcpip.c:471: fo ==> of, for
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/api/tcpip.c:522: fo ==> of, for
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:159: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:212: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:235: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:235: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:239: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:334: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:337: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:337: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:339: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:343: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:344: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:373: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:380: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:459: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:478: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:479: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:480: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:481: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:597: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_out.c:615: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/sys.c:49: wether ==> weather, whether
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/sys.c:91: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/sys.c:108: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/sys.c:148: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/sys.c:165: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/raw.c:135: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/pbuf.c:220: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/pbuf.c:382: succesful ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/pbuf.c:434: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/pbuf.c:437: refering ==> referring
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/pbuf.c:445: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp.c:448: nastly ==> nasty
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp.c:1106: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp.c:1110: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp.c:1267: Calcluates ==> Calculates
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp.c:1268: determin ==> determine
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_in.c:596: sucessfully ==> successfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/tcp_in.c:1244: lenghts ==> lengths
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/udp.c:144: packe ==> packed, packet
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/udp.c:328: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/udp.c:543: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/udp.c:571: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/udp.c:750: wich ==> which
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/init.c:151: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:385: addess ==> address
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:737: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:816: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:819: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/dns.c:835: ans ==> and
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/mem.c:152: alignes ==> aligns
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/mem.c:349: shrinked ==> shrunk
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/mem.c:417: shrinked ==> shrunk
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/mem.c:568: excact ==> exact
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet.c:57: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet.c:78: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet.c:180: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/igmp.c:511: enought ==> enough
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:583: succeding ==> succeeding
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:641: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:674: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:685: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:784: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:3: Incluse ==> Include
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:89: endianess ==> endianness
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:175: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:258: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:320: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:385: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:87: informations ==> information
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:288: choosen ==> chosen
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:295: accquiring ==> acquiring
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:178: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:210: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:303: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:379: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:435: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:559: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib2.c:949: stuct ==> struct
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1202: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1408: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1566: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1897: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:49: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:81: upto ==> up to
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:82: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:233: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:328: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:426: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:593: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:318: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:365: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:420: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:434: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:459: rerurn ==> return, rerun
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:638: unkown ==> unknown
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:1075: unkown ==> unknown
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv6/inet6.c:51: arcitecture ==> architecture
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/core/ipv6/inet6.c:124: primarely ==> primarily
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/slipif.c:70: chaing ==> chain
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:10: Gratuitious ==> Gratuitous
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:140: qeueue ==> queue
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:460: Succesfully ==> Successfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:631: musn't ==> mustn't
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:659: continously ==> continuously
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/etharp.c:1122: recevied ==> received
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ethernetif.c:119: availale ==> available
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp_oe.c:224: controll ==> control
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chap.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chap.h:63: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:517: deamon ==> daemon
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:604: deamon ==> daemon
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:841: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1337: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1381: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1410: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1438: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1463: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1487: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/auth.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/auth.c:64: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/auth.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/fsm.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.h:56: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:53: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:61: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:160: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/vj.h:19: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/pap.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:50: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:1230: begining ==> beginning
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:1549: wasnt ==> wasn't
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/magic.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/lcp.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.c:159: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.c:210: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.c:234: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.c:235: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/randm.c:236: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/vj.c:18: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/vj.c:192: circularly ==> circular
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chpms.h:52: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ppp.h:66: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/magic.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/fsm.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chap.c:50: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/chap.c:66: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/pap.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:934: begining ==> beginning
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:989: desination ==> destination
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:1160: wasnt ==> wasn't
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/netif/ppp/ipcp.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/ipv4/lwip/icmp.h:82: splitted ==> split
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/opt.h:170: inlude ==> include
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/opt.h:610: disabeling ==> disabling
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/opt.h:881: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/api.h:123: synchroneously ==> synchronously
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/netdb.h:89: accessable ==> accessible
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:133: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:151: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:177: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:197: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:198: extenal ==> external
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:199: funtions ==> functions
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/sockets.h:317: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/sys.h:93: anthing ==> anything
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/tcp.h:257: sucessfully ==> successfully
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/lwip/tcp.h:522: segements ==> segments
data/uhd-4.0.0.0/firmware/usrp3/lwip/lwip-1.3.1/src/include/netif/ppp_oe.h:126: gerneric ==> generic
data/uhd-4.0.0.0/firmware/usrp3/lwip_port/netif/eth_driver.c:109: availale ==> available
data/uhd-4.0.0.0/firmware/usrp3/include/xge_phy.h:8: managable ==> manageable, manageably
data/uhd-4.0.0.0/firmware/usrp3/include/xge_mac.h:9: Interupt ==> Interrupt
data/uhd-4.0.0.0/firmware/usrp3/include/wb_pkt_iface64.h:35: Aquire ==> Acquire
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:20: realy ==> really
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:25: usefull ==> useful
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:40: noticably ==> noticeably
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:45: milage ==> mileage
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:67: realy ==> really
data/uhd-4.0.0.0/firmware/usrp3/include/printf.h:72: interupts ==> interrupts
data/uhd-4.0.0.0/firmware/fx2/usrp1/usrp_common.c:76: transistion ==> transition
data/uhd-4.0.0.0/firmware/fx2/usrp1/usb_descriptors.a51:83: maximimum ==> maximum
data/uhd-4.0.0.0/firmware/fx2/common/isr.c:164: interrrupts ==> interrupts
data/uhd-4.0.0.0/firmware/fx2/common/fpga_regs_standard.h:234: allmost ==> almost
data/uhd-4.0.0.0/firmware/fx2/common/usrp_spi_defs.h:53: signficant ==> significant
data/uhd-4.0.0.0/firmware/fx2/common/fx2regs.h:53: Incidently ==> Incidentally
data/uhd-4.0.0.0/firmware/fx2/common/fx2regs.h:151: Interupt ==> Interrupt
data/uhd-4.0.0.0/firmware/fx2/common/fx2regs.h:152: Interupt ==> Interrupt
data/uhd-4.0.0.0/firmware/fx2/b100/usrp_common.c:71: transistion ==> transition
data/uhd-4.0.0.0/firmware/fx2/b100/usb_descriptors.a51:86: maximimum ==> maximum
data/uhd-4.0.0.0/firmware/e300/rev_b/main.c:49: OT ==> TO, OF, OR
data/uhd-4.0.0.0/firmware/e300/rev_b/main.c:54: OT ==> TO, OF, OR
data/uhd-4.0.0.0/firmware/e300/rev_b/main.c:178: supercede ==> supersede
data/uhd-4.0.0.0/firmware/e300/rev_c/main.c:49: OT ==> TO, OF, OR
data/uhd-4.0.0.0/firmware/e300/rev_c/main.c:54: OT ==> TO, OF, OR
data/uhd-4.0.0.0/firmware/e300/rev_c/main.c:178: supercede ==> supersede
data/uhd-4.0.0.0/firmware/usrp2/CMakeLists.txt:22: wont ==> won't
data/uhd-4.0.0.0/firmware/usrp2/lib/i2c_async.c:88: tRead ==> thread, treat
data/uhd-4.0.0.0/firmware/usrp2/lib/i2c_async.c:117: tRead ==> thread, treat
data/uhd-4.0.0.0/firmware/usrp2/lib/pkt_ctrl.h:40: incomming ==> incoming
data/uhd-4.0.0.0/firmware/usrp2/lib/u2_init.c:49: progammable ==> programmable
data/uhd-4.0.0.0/firmware/usrp2/lib/hal_uart.h:66: configuation ==> configuration
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:60: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:65: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:68: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:69: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:70: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:71: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:104: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:105: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:111: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:120: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:121: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:127: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_flash_loader.py:134: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:29: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:34: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:38: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:39: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:47: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:48: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:62: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/bin/uart_ihex_ram_loader.py:65: ser ==> set
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwipopts.h:46: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwipopts.h:54: inlude ==> include
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwipopts.h:88: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/README:5: CNA ==> CAN
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/savannah.txt:78: SUCCESFUL ==> SUCCESSFUL
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/snmp_agent.txt:34: doen't ==> doesn't
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/snmp_agent.txt:133: thes ==> this, these
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/rawapi.txt:44: Additionaly ==> Additionally
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/rawapi.txt:352: initalization ==> initialization
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/sys_arch.txt:173: carefull ==> careful, carefully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/sys_arch.txt:175: allcate ==> allocate
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/doc/contrib.txt:42: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/sockets.c:111: occures ==> occurs
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/sockets.c:671: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/sockets.c:697: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/sockets.c:698: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/netbuf.c:179: retreived ==> retrieved
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/tcpip.c:386: miliseconds ==> milliseconds
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/tcpip.c:415: miliseconds ==> milliseconds
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/tcpip.c:471: fo ==> of, for
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/api/tcpip.c:522: fo ==> of, for
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:159: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:212: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:235: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:235: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:239: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:334: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:337: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:337: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:339: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:343: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:344: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:373: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:380: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:459: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:478: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:479: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:480: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:481: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:597: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_out.c:615: useg ==> user, usage
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/sys.c:49: wether ==> weather, whether
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/sys.c:91: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/sys.c:108: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/sys.c:148: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/sys.c:165: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/raw.c:135: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/pbuf.c:220: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/pbuf.c:382: succesful ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/pbuf.c:434: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/pbuf.c:437: refering ==> referring
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/pbuf.c:445: unsuccesfully ==> unsuccessfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp.c:448: nastly ==> nasty
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp.c:1106: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp.c:1110: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp.c:1267: Calcluates ==> Calculates
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp.c:1268: determin ==> determine
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_in.c:596: sucessfully ==> successfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/tcp_in.c:1244: lenghts ==> lengths
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/udp.c:144: packe ==> packed, packet
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/udp.c:328: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/udp.c:543: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/udp.c:571: occured ==> occurred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/udp.c:750: wich ==> which
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/init.c:151: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:385: addess ==> address
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:737: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:816: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:817: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:819: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/dns.c:835: ans ==> and
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/mem.c:152: alignes ==> aligns
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/mem.c:349: shrinked ==> shrunk
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/mem.c:417: shrinked ==> shrunk
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/mem.c:568: excact ==> exact
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet.c:57: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet.c:78: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet.c:180: represenation ==> representation
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/igmp.c:511: enought ==> enough
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:583: succeding ==> succeeding
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:641: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:674: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:685: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/ip_frag.c:784: ofo ==> of
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:3: Incluse ==> Include
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:89: endianess ==> endianness
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:175: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:258: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:320: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/inet_chksum.c:385: propably ==> probably
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:87: informations ==> information
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:288: choosen ==> chosen
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv4/autoip.c:295: accquiring ==> acquiring
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:178: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:210: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:303: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:379: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:435: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_enc.c:559: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib2.c:949: stuct ==> struct
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1202: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1408: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1566: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib2.c:1897: childs ==> children, child's
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:49: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:81: upto ==> up to
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:82: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:233: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:328: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:426: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/asn1_dec.c:593: successfull ==> successful
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:318: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:365: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:420: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/msg_out.c:434: lenght ==> length
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:459: rerurn ==> return, rerun
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:638: unkown ==> unknown
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/snmp/mib_structs.c:1075: unkown ==> unknown
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv6/inet6.c:51: arcitecture ==> architecture
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/core/ipv6/inet6.c:124: primarely ==> primarily
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/slipif.c:70: chaing ==> chain
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:10: Gratuitious ==> Gratuitous
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:140: qeueue ==> queue
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:460: Succesfully ==> Successfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:631: musn't ==> mustn't
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:659: continously ==> continuously
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/etharp.c:1122: recevied ==> received
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ethernetif.c:119: availale ==> available
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp_oe.c:224: controll ==> control
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chap.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chap.h:63: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:517: deamon ==> daemon
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:604: deamon ==> daemon
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:841: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1337: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1381: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1410: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1438: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1463: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.c:1487: parms ==> params, prams
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/auth.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/auth.c:64: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/auth.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/fsm.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.h:56: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:53: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:61: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chpms.c:160: prefered ==> preferred
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/vj.h:19: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/pap.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:50: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:1230: begining ==> beginning
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/lcp.c:1549: wasnt ==> wasn't
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/magic.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/lcp.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.c:159: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.c:210: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.c:234: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.c:235: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/randm.c:236: truely ==> truly
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/vj.c:18: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/vj.c:192: circularly ==> circular
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chpms.h:52: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ppp.h:66: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/magic.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/fsm.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chap.c:50: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/chap.c:66: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/pap.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:934: begining ==> beginning
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:989: desination ==> destination
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ipcp.c:1160: wasnt ==> wasn't
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/netif/ppp/ipcp.h:49: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/ipv4/lwip/icmp.h:82: splitted ==> split
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/opt.h:170: inlude ==> include
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/opt.h:610: disabeling ==> disabling
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/opt.h:881: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/api.h:123: synchroneously ==> synchronously
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/netdb.h:89: accessable ==> accessible
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:133: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:151: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:177: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:197: aditional ==> additional
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:198: extenal ==> external
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/snmp_structs.h:199: funtions ==> functions
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/sockets.h:317: tolen ==> token
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/sys.h:93: anthing ==> anything
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/tcp.h:257: sucessfully ==> successfully
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/lwip/tcp.h:522: segements ==> segments
data/uhd-4.0.0.0/firmware/usrp2/lwip/lwip-1.3.1/src/include/netif/ppp_oe.h:126: gerneric ==> generic
data/uhd-4.0.0.0/firmware/usrp2/lwip_port/netif/eth_driver.c:109: availale ==> available
data/uhd-4.0.0.0/firmware/usrp2/usrp2p/ethernet.c:241: configuation ==> configuration
data/uhd-4.0.0.0/firmware/usrp2/usrp2p/ethernet.c:276: autonegotation ==> autonegotiation
data/uhd-4.0.0.0/firmware/usrp2/usrp2p/spi_flash.h:110: aysnc ==> async
data/uhd-4.0.0.0/firmware/usrp2/usrp2p/eth_phy.h:29: Regiser ==> Register
data/uhd-4.0.0.0/firmware/usrp2/usrp2p/spi_flash_read.c:80: tranfer ==> transfer
data/uhd-4.0.0.0/firmware/usrp2/usrp2/ethernet.c:227: configuation ==> configuration
data/uhd-4.0.0.0/firmware/usrp2/usrp2/ethernet.c:262: autonegotation ==> autonegotiation
data/uhd-4.0.0.0/firmware/usrp2/usrp2/eth_phy.h:29: Regiser ==> Register
data/uhd-4.0.0.0/firmware/usrp2/usrp2/eth_phy.h:45: Interupt ==> Interrupt
data/uhd-4.0.0.0/firmware/octoclock/bootloader/main.c:85: Reenable ==> Re-enable
data/uhd-4.0.0.0/firmware/octoclock/lib/init.c:66: interal ==> internal, interval, integral
data/uhd-4.0.0.0/firmware/octoclock/include/lwipopts.h:46: lenght ==> length
data/uhd-4.0.0.0/firmware/octoclock/include/lwipopts.h:54: inlude ==> include
data/uhd-4.0.0.0/firmware/octoclock/include/lwipopts.h:88: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/icmp.h:82: splitted ==> split
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/opt.h:170: inlude ==> include
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/opt.h:610: disabeling ==> disabling
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/opt.h:881: accomodate ==> accommodate
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/api.h:123: synchroneously ==> synchronously
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/netdb.h:89: accessable ==> accessible
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:133: aditional ==> additional
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:151: aditional ==> additional
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:177: aditional ==> additional
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:197: aditional ==> additional
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:198: extenal ==> external
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/snmp_structs.h:199: funtions ==> functions
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/sockets.h:317: tolen ==> token
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/sys.h:93: anthing ==> anything
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/tcp.h:257: sucessfully ==> successfully
data/uhd-4.0.0.0/firmware/octoclock/include/lwip/tcp.h:522: segements ==> segments
data/uhd-4.0.0.0/firmware/octoclock/include/net/enc28j60.h:150: HTEN ==> THEN, HEN, THE
data/uhd-4.0.0.0/firmware/fx3/b200/firmware/b200_main.c:509: funtion ==> function
data/uhd-4.0.0.0/firmware/fx3/b200/firmware/b200_main.c:1504: occurence ==> occurrence
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/tx_buffer_inband.v:20: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/tx_buffer_inband.v:47: cnannel ==> channel
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/tx_buffer_inband.v:57: Conections ==> Connections
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/tx_buffer_inband.v:123: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/tx_buffer_inband.v:123: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/register_io.v:3: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/register_io.v:20: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/register_io.v:33: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/chan_fifo_reader.v:4: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/chan_fifo_reader.v:20: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/inband_lib/chan_fifo_reader.v:156: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/io_pins.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/io_pins.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/io_pins.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/io_pins.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/bidir_reg.v:4: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/serial_io.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/master_control_multi.v:68: seperate ==> separate
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/halfband_decim.v:153: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/hbd_tb/HBD:23: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/hbd_tb/HBD:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/sdr_lib/hb/hbd_tb/HBD:51: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:100: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:101: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:102: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:102: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:102: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:102: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:102: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:103: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:104: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:104: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:104: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:104: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm_fft.py:104: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:35: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:57: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:57: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:60: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/mrfm/mrfm.v:63: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:34: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:59: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:60: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:155: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_inband_usb/usrp_inband_usb.v:384: threshhold ==> threshold
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:40: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:65: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:66: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:67: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_multi/usrp_multi.v:68: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:39: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:64: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:65: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:66: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/usrp_std/usrp_std.v:67: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/toplevel/include/common_config_bottom.vh:23: configuation ==> configuration
data/uhd-4.0.0.0/fpga/usrp1/models/ssram.v:10: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/models/bustri.v:11: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k_18.v:36: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k_18.v:38: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k_18.v:87: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k_18.v:91: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:41: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:43: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:83: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:85: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:129: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:140: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:142: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:150: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:173: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:184: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:186: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:194: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:217: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:228: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:230: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:238: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:261: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:272: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:274: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:282: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:305: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:316: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:318: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:326: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:349: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:360: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:362: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:370: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:393: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:404: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:406: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:414: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:437: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:448: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:450: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:458: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:481: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:492: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:494: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:502: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:525: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:536: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:538: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:546: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:569: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:580: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:582: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:590: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:612: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:624: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:626: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:634: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:657: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:668: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:670: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:678: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:698: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:700: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:744: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:755: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:757: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:765: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:788: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:799: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:801: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:809: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:832: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:843: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:845: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:853: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:876: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:887: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:889: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:897: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:920: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:931: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:933: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:941: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:964: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:975: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:977: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:985: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1008: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1019: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1021: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1029: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1052: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1063: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1065: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1073: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1096: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1107: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1109: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1117: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1140: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1151: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1153: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1161: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1184: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1195: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1197: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1205: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1227: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1239: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1241: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1249: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1272: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1283: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1285: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1293: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1313: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1315: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1369: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1379: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1381: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1385: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1428: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1438: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1440: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1444: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1487: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1497: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1499: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1503: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1546: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1556: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1558: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1562: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1605: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1615: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1617: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1621: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1664: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1674: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1676: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1680: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1723: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1733: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1735: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1739: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1782: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1792: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1794: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1798: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1841: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1851: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1853: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1857: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1900: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1910: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1912: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1916: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1959: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1969: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1971: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:1975: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2018: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2028: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2030: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2034: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2077: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2087: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2089: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2093: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2136: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2146: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2148: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2152: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2195: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2205: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2207: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2211: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2254: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2264: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2266: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2270: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2309: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2311: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2330: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2333: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2338: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2341: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2346: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2349: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2354: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2357: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2362: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2365: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2370: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2373: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2378: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2381: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2386: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2389: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2394: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2397: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2402: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2405: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2410: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2413: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2418: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2421: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2444: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2446: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2465: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2468: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2473: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2476: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2481: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2484: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2489: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2492: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2497: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2500: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2505: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2508: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2513: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2516: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2521: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2524: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2529: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2532: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2537: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2540: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2545: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2548: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2553: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2556: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2571: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2573: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2603: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2605: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2640: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2655: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2657: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2665: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2683: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2698: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2700: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2708: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2726: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2741: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2743: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2751: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2769: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2784: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2786: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2794: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2812: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2827: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2829: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2837: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2855: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2870: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2872: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2880: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2898: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2913: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2915: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2923: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2941: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2956: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2958: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2966: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2984: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:2999: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3001: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3009: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3027: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3042: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3044: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3052: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3070: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3085: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3087: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3095: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3113: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3128: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3130: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3138: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3162: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3164: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3266: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3269: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3273: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3276: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3368: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4k.v:3370: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/dspclkpll.v:39: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/dspclkpll.v:41: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/dspclkpll.v:63: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/dspclkpll.v:88: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/clk_doubler.v:39: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/clk_doubler.v:41: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/clk_doubler.v:59: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/clk_doubler.v:84: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:41: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:43: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:81: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:83: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:126: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:137: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:139: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:147: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:170: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:181: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:183: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:191: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:214: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:225: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:227: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:235: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:258: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:269: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:271: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:279: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:302: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:313: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:315: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:323: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:346: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:357: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:359: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:367: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:390: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:401: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:403: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:411: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:434: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:445: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:447: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:455: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:478: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:489: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:491: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:499: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:522: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:533: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:535: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:543: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:565: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:577: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:579: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:587: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:610: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:621: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:623: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:631: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:651: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:653: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:696: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:707: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:709: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:717: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:740: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:751: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:753: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:761: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:784: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:795: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:797: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:805: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:828: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:839: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:841: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:849: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:872: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:883: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:885: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:893: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:916: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:927: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:929: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:937: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:960: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:971: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:973: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:981: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1004: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1015: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1017: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1025: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1048: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1059: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1061: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1069: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1092: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1103: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1105: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1113: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1135: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1147: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1149: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1157: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1180: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1191: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1193: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1201: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1221: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1223: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1277: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1287: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1289: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1293: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1336: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1346: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1348: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1352: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1395: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1405: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1407: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1411: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1454: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1464: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1466: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1470: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1513: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1523: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1525: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1529: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1572: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1582: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1584: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1588: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1631: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1641: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1643: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1647: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1690: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1700: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1702: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1706: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1749: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1759: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1761: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1765: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1808: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1818: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1820: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1824: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1867: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1877: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1879: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1883: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1926: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1936: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1938: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1942: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1985: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1995: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:1997: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2001: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2044: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2054: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2056: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2060: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2103: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2113: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2115: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2119: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2162: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2172: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2174: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2178: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2217: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2219: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2238: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2241: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2246: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2249: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2254: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2257: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2262: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2265: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2270: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2273: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2278: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2281: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2286: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2289: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2294: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2297: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2302: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2305: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2310: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2313: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2318: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2321: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2344: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2346: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2365: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2368: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2373: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2376: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2381: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2384: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2389: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2392: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2397: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2400: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2405: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2408: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2413: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2416: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2421: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2424: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2429: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2432: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2437: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2440: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2445: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2448: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2463: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2465: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2495: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2497: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2531: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2546: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2548: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2556: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2574: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2589: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2591: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2599: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2617: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2632: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2634: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2642: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2660: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2675: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2677: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2685: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2703: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2718: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2720: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2728: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2746: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2761: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2763: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2771: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2789: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2804: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2806: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2814: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2832: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2847: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2849: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2857: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2875: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2890: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2892: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2900: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2918: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2933: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2935: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2943: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2961: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2976: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2978: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:2986: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3010: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3012: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3114: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3117: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3121: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3124: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3216: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_2k.v:3218: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/pll.v:39: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/pll.v:41: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/pll.v:59: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/pll.v:84: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/bustri.cmp:27: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4kx16_dc.v:33: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4kx16_dc.v:35: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4kx16_dc.v:84: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_4kx16_dc.v:88: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/bustri_bb.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/megacells/bustri.v:44: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_1kx16.v:33: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_1kx16.v:35: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_1kx16.v:81: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp1/megacells/fifo_1kx16.v:85: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/new_rx_control.v:11: commmand ==> command
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/new_rx_control.v:125: Incase ==> In case
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:10974: aadd ==> add
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:11742: addd ==> add
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:19151: cace ==> cache
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:23278: daed ==> dead
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:27344: eacf ==> each
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:32955: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:35583: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:36267: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:36334: ded ==> dead
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/iq_to_float_input.txt:36575: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/chdr_8s_to_16s.v:36: lazyness ==> laziness
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/chdr_16s_to_32f.v:42: lazyness ==> laziness
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/chdr_16s_to_8s.v:35: lazyness ==> laziness
data/uhd-4.0.0.0/fpga/usrp3/lib/vita_200/chdr_16sc_to_32f_tb.v:51: thouroughly ==> thoroughly
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_top.v:14: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_defines.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_defines.v:42: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_shift.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_top16.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/rtl/verilog/spi_clgen.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:55: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:63: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:74: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:98: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:113: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:136: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/wb_master_model.v:151: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/spi_slave_model.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/spi_slave_model.v:54: transmiting ==> transmitting
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/tb_spi_top.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/tb_spi_top.v:90: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/tb_spi_top.v:136: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp3/lib/wb_spi/bench/verilog/tb_spi_top.v:143: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:119: reqest ==> request
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:303: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:330: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:620: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:638: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:1111: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:1189: reqest ==> request
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:1786: doesnt ==> doesn't, does not
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd:1786: doesnt ==> doesn't, does not
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v:50: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v:73: nuber ==> number
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_onewire_master/sockit_owm.v:43: frequences ==> frequencies
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_onewire_master/sockit_owm.v:198: hight ==> height, high
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_onewire_master/sockit_owm.v:222: controll ==> control
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/platform/generic/lm32_multiplier.v:43: Ouputs ==> Outputs
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/lm32_adder.v:54: Opearnd ==> Operand
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/lm32_adder.v:64: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/lm32_adder.v:85: Ouputs ==> Outputs
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/lm32_adder.v:100: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/lm32_include.v:287: indicies ==> indices
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_eic.vhd:86: regsiter ==> register
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_uart/simple_uart_wb.vhd:301: regsiter ==> register
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/generic_simple_dpram.vhd:18: resulution ==> resolution
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/generic_dpram_sameclock.vhd:18: resulution ==> resolution
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/generic_dpram_dualclock.vhd:18: resulution ==> resolution
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/generic_dpram.vhd:18: resulution ==> resolution
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/eb_tx_mux.vhd:77: unstalled ==> installed, uninstalled
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/eb_hdr_pkg.vhd:56: fro ==> for, from
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/eb_hdr_pkg.vhd:71: fro ==> for, from
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/eb_hdr_pkg.vhd:178: fro ==> for, from
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/eb_hdr_pkg.vhd:236: fro ==> for, from
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/etherbone-core/hdl/eb_slave_core/etherbone_pkg.vhd:115: compatability ==> compatibility
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8.mif:193: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8.mif:2821: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8.mif:3505: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8.mif:3572: ded ==> dead
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8.mif:3813: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8_sim.mif:193: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8_sim.mif:2821: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8_sim.mif:3505: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8_sim.mif:3572: ded ==> dead
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/bin/wrpc/wrc_phy8_sim.mif:3813: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/wr_gtx_phy_family7.vhd:40: propgated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/wr_gtx_phy_family7.vhd:168: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/wr_gtx_phy_family7.vhd:170: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/wr_gtx_phy_family7.vhd:373: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/wr_gtx_phy_family7.vhd:375: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd:119: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd:121: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd:588: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd:590: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd:697: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/wr_gtp_phy_family7.vhd:205: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/wr_gtp_phy_family7.vhd:418: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper_gt.vhd:113: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper_gt.vhd:716: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper.vhd:109: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper.vhd:240: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper.vhd:349: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/platform/xilinx/wr_gtp_phy/family7-gtp/whiterabbit_gtpe2_channel_wrapper.vhd:478: AFE ==> SAFE
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/board/common/wr_board_pkg.vhd:289: mutiple ==> multiple
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/board/common/wr_board_pkg.vhd:304: mutiple ==> multiple
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_softpll_ng/xwr_softpll_ng.vhd:109: singnal ==> signal
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_softpll_ng/wr_softpll_ng.vhd:102: auxillary ==> auxiliary
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_softpll_ng/wr_softpll_ng.vhd:121: singnal ==> signal
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_mini_nic/wr_mini_nic.vhd:251: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_mini_nic/wr_mini_nic.vhd:268: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_header_processor.vhd:110: andd ==> and
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_header_processor.vhd:370: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_header_processor.vhd:526: withouth ==> without
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_header_processor.vhd:683: possibilties ==> possibilities
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_1000basex_pcs.vhd:43: separeted ==> separated
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_1000basex_pcs.vhd:106: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_1000basex_pcs.vhd:515: ouputs ==> outputs
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_1000basex_pcs.vhd:555: autonegotation ==> autonegotiation
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_inject_ctrl.vhd:78: nees ==> needs
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_inject_ctrl.vhd:103: betwen ==> between
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_inject_ctrl.vhd:108: leagal ==> legal
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_oob_insert.vhd:68: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_oob_insert.vhd:78: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_packet_injection.vhd:102: singals ==> signals
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_packet_injection.vhd:104: requrested ==> requested
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_path.vhd:384: untill ==> until
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_8bit.vhd:99: regsiter ==> register
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_8bit.vhd:551: Delimeter ==> Delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_8bit.vhd:674: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_8bit.vhd:731: errorneous ==> erroneous
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_8bit.vhd:737: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:776: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:801: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:812: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:813: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:814: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:815: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:816: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:820: indiated ==> indicated
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:823: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:824: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:825: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:829: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:830: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:831: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:834: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:840: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:841: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:842: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:843: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:844: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:845: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:846: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:847: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:850: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:851: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:852: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/endpoint_private_pkg.vhd:855: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_16bit.vhd:93: regsiter ==> register
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_16bit.vhd:511: Delimeter ==> Delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_16bit.vhd:587: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_pcs_16bit.vhd:645: errorneous ==> erroneous
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_path.vhd:109: andd ==> and
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_path.vhd:216: genrate ==> generate
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_vlan_unit.vhd:38: chagnes ==> changes
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_vlan_unit.vhd:113: tempalte ==> template
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_packet_filter.vhd:15: constaints ==> constraints
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_wishbone_controller.vhd:774: Fiter ==> Filter, fighter, fitter, fiver
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_16bit.vhd:72: inteface ==> interface
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_16bit.vhd:438: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_16bit.vhd:461: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_16bit.vhd:499: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_16bit.vhd:521: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:84: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:90: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:91: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:92: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:93: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:94: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:95: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:101: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:103: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_rx_buffer.vhd:109: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:109: Interace ==> Interface
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:186: andd ==> and
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:199: coresponding ==> corresponding
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:202: coresponding ==> corresponding
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:448: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:453: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:909: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/wr_endpoint.vhd:994: unsued ==> unused
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_8bit.vhd:79: inteface ==> interface
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_8bit.vhd:398: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_8bit.vhd:422: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_8bit.vhd:466: delimeter ==> delimiter
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ep_tx_pcs_8bit.vhd:490: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/xwr_endpoint.vhd:99: Interace ==> Interface
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/xwr_endpoint.vhd:168: andd ==> and
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/xwr_endpoint.vhd:181: coresponding ==> corresponding
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/xwr_endpoint.vhd:184: coresponding ==> corresponding
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/xwr_core.vhd:55: Auxillary ==> Auxiliary
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wrcore_pkg.vhd:142: PERIPHERIALS ==> PERIPHERALS
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wrcore_pkg.vhd:648: Auxillary ==> Auxiliary
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:55: Auxillary ==> Auxiliary
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:218: Auxillary ==> Auxiliary
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:398: Peripherials ==> Peripherals
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:496: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:506: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wr_core.vhd:875: Peripherials ==> Peripherals
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/wrc_periph.vhd:2: peripherials ==> peripherals
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_pps_gen/wr_pps_gen.vhd:81: uncertainities ==> uncertainties
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_pps_gen/wr_pps_gen.vhd:254: regsiters ==> registers
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrx_streamers_stats.vhd:14: provies ==> provides, proves
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrx_streamers_stats.vhd:44: neede ==> needed, need
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrx_streamers_stats.vhd:98: snaphsot ==> snapshot
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrx_streamers_stats.vhd:116: receved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:58: conatain ==> contain
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:78: overriden ==> overridden
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:129: immediatly ==> immediately
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:190: threashold ==> threshold
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:367: overriden ==> overridden
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamer.vhd:369: overriden ==> overridden
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamers_stats.vhd:14: provies ==> provides, proves
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xtx_streamers_stats.vhd:45: neede ==> needed, need
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xwr_streamers.vhd:16: inlcluded ==> included
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xwr_streamers.vhd:22: configureable ==> configurable
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xwr_streamers.vhd:122: immediatly ==> immediately
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrtx_streamers_stats.vhd:59: neede ==> needed, need
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrtx_streamers_stats.vhd:286: suppported ==> supported
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/xrtx_streamers_stats.vhd:288: suppported ==> supported
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/streamers_pkg.vhd:59: conatain ==> contain
data/uhd-4.0.0.0/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/streamers_pkg.vhd:60: conatain ==> contain
data/uhd-4.0.0.0/fpga/usrp3/lib/zpu/core/zpu_core.vhd:238: requeset ==> request, requisite
data/uhd-4.0.0.0/fpga/usrp3/lib/zpu/core/zpu_core.vhd:392: appliable ==> applicable
data/uhd-4.0.0.0/fpga/usrp3/lib/zpu/core/zpupkg.vhd:100: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/axi_dmac.v:259: Interupt ==> Interrupt
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/request_generator.v:65: ammount ==> amount
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/request_generator.v:65: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/data_mover.v:119: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/dest_fifo_inf.v:55: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/dest_fifo_inf.v:129: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_dmac/request_arb.v:589: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/component.xml:282: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/component.xml:308: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/component.xml:321: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/bitq_fsm.vhd:20: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/bitq_fsm.vhd:22: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/bitq_fsm.vhd:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/axi_bitq.vhd:18: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/axi_bitq.vhd:20: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/vivado_ipi/axi_bitq/axi_bitq.vhd:21: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/xge_interface/xge64_to_axi64.v:13: metatdata ==> metadata
data/uhd-4.0.0.0/fpga/usrp3/lib/xge_interface/xge64_to_axi64.v:20: guarenteed ==> guaranteed
data/uhd-4.0.0.0/fpga/usrp3/lib/xge_interface/xge64_to_axi64.v:41: avilable ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/xge_interface/xge64_to_axi64.v:297: geting ==> getting
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_2clk.v:27: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_2clk.v:58: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_2clk.v:70: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_2clk.v:129: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_2clk.v:143: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_tb.v:100: Occured ==> Occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_tb.v:129: propogate ==> propagate
data/uhd-4.0.0.0/fpga/usrp3/lib/fifo/axi_fifo_tb.v:160: propogate ==> propagate
data/uhd-4.0.0.0/fpga/usrp3/lib/io_cap_gen/cat_input_lvds.v:549: Thats ==> That's
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:24: implment ==> implement
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:28: milage ==> mileage
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:111: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:124: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:138: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/ext_fifo.v:150: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/nobl_fifo.v:60: supress ==> suppress
data/uhd-4.0.0.0/fpga/usrp3/lib/extramfifo/nobl_fifo.v:91: temprary ==> temporary, temporarily
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/settings_readback.v:11: atomicly ==> atomically
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:69: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:71: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:77: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:104: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:157: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:169: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:170: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_byte_ctrl.v:230: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:123: conditon ==> condition
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:127: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:129: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:158: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:185: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:311: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:314: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:360: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_bit_ctrl.v:364: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_top.v:73: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_top.v:75: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp3/lib/wishbone/i2c_master_top.v:249: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/hls/addsub_hls/addsub_hls.cpp:15: ouputs ==> outputs
data/uhd-4.0.0.0/fpga/usrp3/lib/axi4s_sv/axi4s_remove_bytes.sv:462: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/axi4s_sv/axi4s_remove_bytes_start.sv:223: ammount ==> amount
data/uhd-4.0.0.0/fpga/usrp3/lib/axi4s_sv/axi4s_remove_bytes_tb/Makefile:37: supressing ==> suppressing
data/uhd-4.0.0.0/fpga/usrp3/lib/axi4s_sv/axi4s_add_bytes_tb/Makefile:37: supressing ==> suppressing
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_forwarding_cam.v:196: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_forwarding_cam.v:196: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_forwarding_cam.v:203: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_crossbar_regport.v:178: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_crossbar_regport.v:184: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/lib/control/arb_qualify_master.v:12: arbitor ==> arbiter, arbitrator
data/uhd-4.0.0.0/fpga/usrp3/lib/control/arb_qualify_master.v:66: arbitors ==> arbiters, arbitrators
data/uhd-4.0.0.0/fpga/usrp3/lib/control/arb_qualify_master.v:69: preceed ==> precede, proceed
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_crossbar_intf.sv:17: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/lib/control/axi_fifo_header.v:79: remian ==> remain
data/uhd-4.0.0.0/fpga/usrp3/lib/control/regport_to_settingsbus.v:22: Dealign ==> Dealing
data/uhd-4.0.0.0/fpga/usrp3/lib/control/mdio_master.v:156: occuring ==> occurring
data/uhd-4.0.0.0/fpga/usrp3/lib/control/mdio_master.v:422: compatable ==> compatible
data/uhd-4.0.0.0/fpga/usrp3/lib/control/serial_to_settings_tb.v:21: optionaly ==> optionally
data/uhd-4.0.0.0/fpga/usrp3/lib/control/regport_to_xbar_settingsbus.v:27: Dealign ==> Dealing
data/uhd-4.0.0.0/fpga/usrp3/lib/control/gpio_atr_io.v:16: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/mdio.v:178: occuring ==> occurring
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/mdio.v:487: compatable ==> compatible
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/simple_gemac_tb.v:122: Increas ==> Increase
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/delay_line.v:16: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/delay_line.v:23: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/simple_gemac/simple_gemac_rx.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_packet_flush.v:19: flusing ==> flushing
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_packet_flush.v:20: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_packet_flush.v:66: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_packet_flush.v:78: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_defs.v:27: attrubutes ==> attributes
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:219: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:226: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:284: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:379: Embeded ==> Embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:419: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:419: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:489: supress ==> suppress
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:501: embeded ==> embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:643: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:643: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:669: upto ==> up to
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:688: entrys ==> entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:699: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:700: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:705: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:706: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:721: Entrys ==> Entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:721: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:738: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:767: receieved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:778: receieved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:779: commited ==> committed
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:826: upto ==> up to
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:842: tranfer ==> transfer
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:845: entrys ==> entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:853: entrys ==> entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:856: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:857: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:862: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:863: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:878: Entrys ==> Entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:878: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:895: boundry ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:924: receieved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:935: receieved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:1040: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_fifo.v:1050: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:80: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:90: alligned ==> aligned
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:183: Premptively ==> Preemptively
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:189: relevent ==> relevant
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:219: trasaction ==> transaction
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:382: Premptively ==> Preemptively
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:386: relevent ==> relevant
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_dma_master.v:414: trasaction ==> transaction
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_chdr_test_pattern.v:79: Reseting ==> Resetting
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_chdr_test_pattern.v:251: transmiting ==> transmitting
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_chdr_test_pattern.v:424: programable ==> programmable
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_width_conv.v:27: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_width_conv.v:71: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_width_conv.v:86: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_width_conv.v:152: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_width_conv.v:153: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_fifo.v:9: entrys ==> entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:9: entrys ==> entries, entry
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:56: Embeded ==> Embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:56: escpae ==> escape
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:62: embeded ==> embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:97: Embeded ==> Embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:97: escpae ==> escape
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:111: embeded ==> embedded
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:133: writen ==> written
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:149: writen ==> written
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axi_fast_extract_tlast.v:166: Absolutley ==> Absolutely
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_shift_register.v:37: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_shift_register.v:97: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/axi/axis_shift_register.v:112: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:467: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:467: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:490: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:490: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:513: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/ddc.v:513: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/axi_fir_filter.v:35: coeffients ==> coefficients
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/axi_fir_filter.v:277: coeffient ==> coefficient
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/duc.v:45: everytime ==> every time
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/axi_rate_change.v:149: Upsteam ==> Upstream
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/file_sink.v:8: endianess ==> endianness
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/xport/eth_ipv4_chdr64_dispatch.v:420: accomodate ==> accommodate
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/xport_sv/eth_ipv4_add_udp.sv:109: exract ==> extract
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/xport_sv/eth_interface_tb/eth_ifc_tb.sv:423: Droped ==> Dropped
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/xport_sv/eth_interface_tb/eth_ifc_tb.sv:699: Droped ==> Dropped
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/xport_sv/eth_interface_tb/eth_ifc_tb.sv:1044: droped ==> dropped
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/fosphor/rng.v:34: Instanciate ==> Instantiate
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/fosphor/f15_histo_mem.v:111: Instanciate ==> Instantiate
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/fosphor/fifo_srl.v:54: Instanciate ==> Instantiate
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/fosphor/f15_binmap.v:121: Undeflow ==> Underflow
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_stream_endpoint.v:90: specfic ==> specific
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_pyld_ctxt_to_chdr.v:12: convertion ==> conversion
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_pyld_ctxt_to_chdr.v:88: Intput ==> Input
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_pyld_ctxt_to_chdr.v:91: preceeded ==> preceded, proceeded
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_to_axis_pyld_ctxt.v:14: convertion ==> conversion
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_to_axis_pyld_ctxt.v:374: preceeded ==> preceded, proceeded
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_ctrl_master.v:134: comand ==> command
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_ctrl_master.v:162: comand ==> command
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_ctrl_master.v:167: Remeber ==> Remember
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_ctrl_slave.v:130: Outputing ==> Outputting
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/axis_ctrl_slave.v:130: respose ==> response
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_stream_output.v:13: recieved ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:196: Outputing ==> Outputting
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:197: Outputing ==> Outputting
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:197: managment ==> management
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:272: managment ==> management
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:369: interal ==> internal, interval, integral
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:393: outputing ==> outputting
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/core/chdr_mgmt_pkt_handler.v:401: outputing ==> outputting
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/rfnoc_block_fosphor.v:96: hist ==> heist, his
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/rfnoc_block_fosphor.v:186: hist ==> heist, his
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/noc_shell_fosphor.v:97: hist ==> heist, his
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/rfnoc_block_fosphor_tb.sv:43: hist ==> heist, his
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/rfnoc_block_fosphor_tb.sv:385: hist ==> heist, his
data/uhd-4.0.0.0/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_addsub/rfnoc_block_addsub_tb.sv:284: metdata ==> metadata
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/duc_chain.v:193: accomodate ==> accommodate
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:117: excesive ==> excessive
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:176: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:176: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:193: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:193: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/ddc_chain.v:239: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/hb47_int_tb.v:69: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/dsp/sim/sim_ddc_chain/ddc_chain_tb.v:14: libarary ==> library
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/fifo/axi_fifo/axi_fifo_tb.sv:95: Occured ==> Occurred
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/fifo/axi_dram_fifo/sim_sram_1/simulation_script.v:53: Recieve ==> Receive
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/fifo/axi_dram_fifo/sim_sram_1/simulation_script.v:86: Recieve ==> Receive
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/fifo/axi_dram_fifo/sim_sram_1/simulation_script.v:101: agian ==> again
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/io_cap_gen/cat_io_lvds/cat_io_lvds_dual_mode_tb.v:687: patten ==> pattern, patent
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/simple_gemac/simple_gemac_tb.v:122: Increas ==> Increase
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/axi/axis_width_conv/axis_width_conv_tb.sv:48: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/axi/axis_width_conv/axis_width_conv_tb.sv:132: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/axi/axis_width_conv/axis_width_conv_tb.sv:153: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/dsp/ddc_chain_x300/dctest/DDC.sav:8: sav ==> save
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/dsp/hb47_int/hb47_int_tb.v:69: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/sim/dsp/ddc_chain/ddc_chain_tb.v:14: libarary ==> library
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:47: Incomming ==> Incoming
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:112: wriet ==> write
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:136: seperately ==> separately
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:193: propogate ==> propagate
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:194: propogate ==> propagate
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:229: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:267: commiting ==> committing
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:331: sate ==> state
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:333: boundery ==> boundary
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:340: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:562: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_slave_fifo32.v:585: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_error_checker.v:11: Blatently ==> Blatantly
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_error_checker.v:18: allignment ==> alignment
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_to_fifo64.v:53: provdied ==> provided
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_to_fifo64.v:74: obselete ==> obsolete
data/uhd-4.0.0.0/fpga/usrp3/lib/gpif2/gpif2_to_fifo64.v:88: incomming ==> incoming
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/README.txt:56: calulates ==> calculates
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/README.txt:86: transmited ==> transmitted
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/tx_checker.v:96: ether ==> either
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/tx_checker.v:121: commited ==> committed
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/CRC32_D8.v:13: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/tx_dequeue.v:725: availabe ==> available
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/tx_dequeue.v:791: writting ==> writing
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/tx_dequeue.v:878: transmited ==> transmitted
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/CRC32_D64.v:13: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:3: recevied ==> received
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:69: incomming ==> incoming
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:107: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:134: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:162: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:171: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:180: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:189: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:198: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:207: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:216: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:225: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:234: missmatch ==> mismatch
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_checker.v:241: corret ==> correct
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/wishbone_if.v:294: bizzare ==> bizarre
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/wishbone_if.v:311: occuring ==> occurring
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/wishbone_if.v:665: compatable ==> compatible
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_enqueue.v:215: independantly ==> independently
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_enqueue.v:277: claculation ==> calculation
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/verilog/rx_enqueue.v:308: accomodate ==> accommodate
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/include/CRC32_D8.v:13: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/rtl/include/CRC32_D64.v:13: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/fpga/usrp3/lib/xge/tbench/verilog/tb_xge_mac.v:249: tranceiver ==> transceiver
data/uhd-4.0.0.0/fpga/usrp3/lib/radio_200/radio_legacy.v:124: thorugh ==> through, thorough
data/uhd-4.0.0.0/fpga/usrp3/lib/radio_200/radio_legacy.v:135: thorugh ==> through, thorough
data/uhd-4.0.0.0/fpga/usrp3/lib/packet_proc/arm_deframer.v:103: comming ==> coming
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgTestExec.sv:55: sempahore ==> semaphore
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgTestExec.sv:81: cuasing ==> causing
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgAxiLiteBfm.sv:161: innactive ==> inactive
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgAxiLiteBfm.sv:167: betwen ==> between
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgAxiStreamBfm.sv:315: betwen ==> between
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgEthernet.sv:8: ETHER ==> EITHER
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgEthernet.sv:19: ETHER ==> EITHER
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgEthernet.sv:22: Ether ==> Either
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgEthernet.sv:35: Ether ==> Either
data/uhd-4.0.0.0/fpga/usrp3/sim/rfnoc/PkgEthernet.sv:536: traling ==> trailing, trialing
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/gen_xdc_from_rinf.py:68: maintans ==> maintains
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/gen_xdc_from_rinf.py:195: brach ==> branch
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/gen_xdc_from_rinf.py:273: utput ==> output
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/gen_xdc_from_rinf.py:279: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/run_testbenches.py:374: exlude ==> exclude, exude
data/uhd-4.0.0.0/fpga/usrp3/tools/utils/testbenches.excludes:1: exlcude ==> exclude
data/uhd-4.0.0.0/fpga/usrp3/tools/scripts/xil_bitfile_parser.py:51: endianess ==> endianness
data/uhd-4.0.0.0/fpga/usrp3/tools/scripts/git-hash.sh:9: speficied ==> specified
data/uhd-4.0.0.0/fpga/usrp3/tools/scripts/launch_vivado.sh:81: Crtical ==> Critical
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:342: Heirarchy ==> Hierarchy
data/uhd-4.0.0.0/fpga/usrp3/top/x300/soft_ctrl.v:347: Heirarchy ==> Hierarchy
data/uhd-4.0.0.0/fpga/usrp3/top/x300/capture_ddrlvds.v:125: domian ==> domain
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:36: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:37: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:48: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:49: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:50: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:57: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:58: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_core.v:59: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_pcie_int.v:9: suprise ==> surprise
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_sfpp_io_core.v:118: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_sfpp_io_core.v:127: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300_sfpp_io_core.v:211: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:63: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:159: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:163: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:163: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:171: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:181: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:182: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:183: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:184: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:221: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:221: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:229: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:229: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:390: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/top/x300/x300.v:452: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/x300/timing.xdc:565: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/timing.xdc:566: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/timing.xdc:567: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/timing.xdc:568: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:16: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:16: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:17: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:17: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:18: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:18: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:45: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:45: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/bus_int.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nwE ==> new
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: lke ==> like
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:15343: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:15369: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:16701: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:16709: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:16716: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:16903: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:22068: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec1.v:22090: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:7678: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9049: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9058: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9066: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9075: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9289: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9321: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:9376: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec2.v:14289: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:6923: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8411: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8420: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8428: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8437: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8636: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8668: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:8731: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/coregen_dsp/hbdec3.v:12890: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv:558: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/sim/dram_fifo/axis_dram_fifo_single.sv:579: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch:11: tranceiver ==> transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/one_gige_phy.v:9: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci:212: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/radio_clk_gen/radio_clk_gen.xci:498: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k410tffg900-2.prj:151: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/ddr3_32bit/mig_xc7k325tffg900-2.prj:151: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:91: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:285: techinically ==> technically
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci:212: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/pcie_clk_gen/pcie_clk_gen.xci:498: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci:236: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/x300/ip/bus_clk_gen/bus_clk_gen.xci:522: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205.ucf:145: triming ==> trimming, timing
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205.ucf:146: triming ==> trimming, timing
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205.ucf:147: triming ==> trimming, timing
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205_io.v:10: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205_io.v:323: receiveing ==> receiving
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205_io.v:348: relevent ==> relevant
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205_io.v:435: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205_io.v:440: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205.v:51: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/b205.v:65: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: lke ==> like
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: 2RD ==> 2ND
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nwE ==> new
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: lke ==> like
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:19812: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:19833: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:21188: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:21195: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:21203: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:21390: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:27844: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v:28124: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:10557: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:11943: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:11950: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:11959: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:11968: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:12182: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:12214: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:12269: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v:18212: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc:60: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco:140: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy:35: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v:265: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v:265: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v:265: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v:265: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy:35: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: TOI ==> TO, TOY
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc:62: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:84: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:109: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:141: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:141: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd:209: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:210: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:277: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:296: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:296: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html:130: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:84: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:109: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:141: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:141: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:210: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:277: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:296: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:296: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd:209: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html:130: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/python/check_inout.py:39: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/python/check_inout.py:41: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:61: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:63: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:64: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:65: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:66: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:67: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:68: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:69: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:70: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:71: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:72: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:73: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:74: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:75: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:76: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:77: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:78: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:79: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:80: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:81: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:87: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:88: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/e320.v:89: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/n3xx_mgt_io_core.v:285: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/e320/n3xx_mgt_io_core.v:294: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/e320/n3xx_mgt_io_core.v:382: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init.c:13313: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_frame_size.tcl:52: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:666: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:686: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:690: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:710: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:746: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:754: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:766: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:770: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:774: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:778: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:786: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:790: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:794: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:802: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:806: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:810: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:814: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:818: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:822: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:834: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:838: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:846: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:850: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:858: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:862: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:866: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:1056: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/e320_ps_bd.tcl:1057: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl:108: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_tx.tcl:111: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/ps7_init_gpl.c:13304: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/e320_ps_bd/chdr_dma_rx.tcl:290: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v.patch:11: tranceiver ==> transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/one_gig_eth_pcs_pma/one_gige_phy.v:9: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/ddr3_32bit/mig_xc7z045ffg900-3.prj:149: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:91: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:285: techinically ==> technically
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200.v:34: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200.v:35: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200.v:78: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200.v:100: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:10: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:12: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:350: receiveing ==> receiving
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:401: relevent ==> relevant
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:410: relevent ==> relevant
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:484: principly ==> principally
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:530: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/b200_io.v:535: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.veo:61: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.asy:7: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.ngc:3: oll ==> all, ole, old, olly, oil
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: lke ==> like
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: hda ==> had
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nwE ==> new
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:19812: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:19833: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:21188: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:21195: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:21203: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:21390: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:27844: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec1.v:28124: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:10557: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:11943: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:11950: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:11959: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:11968: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:12182: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:12214: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:12269: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen_dsp/hbdec2.v:18212: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc:61: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_icon.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc:3: ND ==> AND, 2ND
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc:3: DED ==> DEAD
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc:3: DED ==> DEAD
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: 3ND ==> 3RD
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: TOI ==> TO, TOY
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: OT ==> TO, OF, OR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: SXL ==> XSL
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: OT ==> TO, OF, OR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf:58: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo:67: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy:35: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: oT ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: ND ==> AND, 2ND
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco:140: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v:265: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v:265: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_icon.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo:24: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v:265: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v:265: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ue ==> Use, due
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy:35: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/chipscope_ila_128.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc:63: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf:58: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:84: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:109: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:141: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd:141: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd:209: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:210: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:277: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:296: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd:296: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html:130: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:84: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:109: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:141: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd:141: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:210: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:277: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:296: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd:296: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd:209: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do:70: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html:130: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt:123: verions ==> versions
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:114: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:370: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:370: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:461: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23714: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23773: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23830: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23844: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23884: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23900: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23930: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23946: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:23986: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24002: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24064: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24080: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24147: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24157: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24168: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24212: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24222: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24227: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24247: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24257: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24262: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24281: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24286: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24317: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24322: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24353: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24358: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24371: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24376: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24394: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24409: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24448: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24462: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24476: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24483: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24490: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24572: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24583: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24599: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24610: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24615: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24620: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24637: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24642: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24647: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24652: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24657: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24662: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24674: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24679: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24689: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24694: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24704: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24721: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24743: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:24783: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30381: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30414: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30441: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30462: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30484: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30504: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30509: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30524: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30529: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30554: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30559: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30574: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30579: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30599: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30604: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30638: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30643: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30658: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30668: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30689: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30694: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30710: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:30720: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41521: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41526: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41531: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41536: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41547: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41552: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41557: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41562: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41567: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41578: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41583: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41594: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41599: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41610: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41615: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41626: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41631: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41642: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41647: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41664: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41674: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41685: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:41696: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44233: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44251: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44263: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44275: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44293: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44317: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44337: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44352: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44393: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44404: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:44994: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45028: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45050: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45078: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45105: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45151: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45191: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45237: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45259: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:45264: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46542: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46559: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46569: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46574: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46579: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46589: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46594: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46604: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46609: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:46614: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.edf:51798: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: ND ==> AND, 2ND
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: FlE ==> file
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: FlE ==> file
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: FlE ==> file
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: FlE ==> file
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: Fo ==> Of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: Ba ==> By, be
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: wHe ==> when, we
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: OTu ==> out
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: ded ==> dead
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: nD ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: fO ==> of, for
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.runs/impl_1/b200.ncd:3: Hda ==> Had
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.srcs/sources_1/imports/coregen/fifo_4k_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:779: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:779: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:1034: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:1043: INOUT ==> INPUT, IN OUT
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:25868: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:25875: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:25882: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:25889: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32067: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32073: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32079: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32085: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32091: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32097: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32103: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32109: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32115: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32121: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32127: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32133: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32139: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32145: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32151: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32157: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32163: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32169: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32175: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32181: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32187: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32193: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32199: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32205: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32211: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32217: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32223: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32229: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32235: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32241: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32247: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32253: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:32264: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33016: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33022: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33028: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33034: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33040: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33046: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33052: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33058: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33064: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33070: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33076: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33082: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33088: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33094: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33100: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33106: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33112: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33118: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33124: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33130: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33136: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33142: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33148: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33154: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33160: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33166: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33172: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33178: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33184: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33190: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33196: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33202: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:33213: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41561: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41573: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41584: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41592: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41600: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41608: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41617: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41628: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41639: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41650: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41661: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41669: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41677: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41685: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41698: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41710: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41722: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41728: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41734: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41740: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41746: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41752: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41758: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41764: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41770: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41776: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41782: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41788: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41794: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41800: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41806: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41812: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:41818: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47391: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47405: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47419: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47427: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47435: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47443: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47452: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47463: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47474: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47485: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47497: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47512: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47524: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47536: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47548: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47560: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47572: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47578: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47584: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47590: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47596: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47602: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47608: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47614: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47620: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47626: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47632: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47638: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47644: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47650: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47656: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47662: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/b200/planahead/planahead.data/cache/b200_ngc_d1c0f267.edif:47668: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_core.v:82: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:298: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:307: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:334: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:343: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:438: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_mgt_io_core.v:469: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_wr_top.vhd:83: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/n3xx_wr_top.vhd:84: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nwE ==> new
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ot ==> to, of, or
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: hge ==> he
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ege ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ede ==> edge
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: daa ==> data
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: lke ==> like
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: AKE ==> ACHE
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: Te ==> The, be, we
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: haa ==> has
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.ngc:3: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:15343: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:15369: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:16701: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:16709: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:16716: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:16903: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:22068: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec1.v:22090: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:7678: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9049: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9058: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9066: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9075: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9289: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9321: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:9376: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec2.v:14289: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:37: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:45: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:6923: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8411: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8420: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8428: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8437: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8636: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8668: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:8731: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/coregen_dsp/hbdec3.v:12890: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd:57: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd:186: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd:187: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd:218: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:14: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:29: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:31: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:55: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:78: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:80: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:102: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:103: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:104: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:105: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:106: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:107: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:108: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:109: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:110: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:111: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:112: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:113: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:114: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:115: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:116: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:117: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:118: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:119: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:120: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:121: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:122: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:149: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:150: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:151: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:229: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:230: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:231: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:289: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:290: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:291: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:820: paramter ==> parameter
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3785: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3786: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3791: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3792: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3898: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3899: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3904: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/n3xx.v:3905: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/db_timing.xdc:64: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd:141: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd:142: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd:149: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/mg/db_ifc/DbCore.vhd:150: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_clocks.xdc:77: busses ==> buses
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:14: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:29: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:31: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:55: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:56: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:78: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:80: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:102: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:103: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:104: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:105: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:106: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:107: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:108: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:109: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:110: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:111: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:112: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:113: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:114: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:115: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:116: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:117: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:118: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:119: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:120: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:121: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:122: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:149: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:150: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:151: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:230: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:231: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:232: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:286: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:287: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:288: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:809: paramter ==> parameter
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3759: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3760: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3765: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3766: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3870: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3871: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3876: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/n3xx.v:3877: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc:46: succesor ==> successor
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_timing.xdc:60: succesor ==> successor
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v:44: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v:54: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/cpld/rhodium_top.v:116: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/PkgJesdConfig.vhd:91: trough ==> through
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd:145: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd:146: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd:153: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/dboards/rh/db_ifc/DbCore.vhd:154: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv:128: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/one_gig_eth_loopback_tb.sv:191: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv:463: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/dram_fifo/axis_dram_fifo_single.sv:484: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv:145: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv:154: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv:257: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/ten_gig_eth_loopback_tb.sv:266: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv:144: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv:153: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv:258: Transciever ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/arm_to_sfp_tb.sv:267: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gig_eth_pcs_pma_clocking.v:159: tranceiver ==> transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/one_gige_phy.v:11: Tranceiver ==> Transceiver
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z100ffg900-2.prj:152: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/ddr3_32bit/mig_xc7z035ffg900-2.prj:152: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:91: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/aurora_axis_mac.v:285: techinically ==> technically
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci:212: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/misc_clock_gen/misc_clock_gen.xci:498: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_frame_size.tcl:52: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl:108: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_tx.tcl:111: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:889: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:933: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:969: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:977: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:989: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:993: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:997: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1001: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1009: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1013: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1017: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1025: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1029: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1033: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1037: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1041: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1045: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1049: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1053: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1057: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1061: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1065: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1069: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1073: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1077: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1081: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1085: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1312: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/n310_ps_bd.tcl:1313: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp3/top/n3xx/ip/n310_ps_bd/chdr_dma_rx.tcl:290: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ppsloop.v:276: freqency ==> frequency
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:16: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:20: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:21: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:22: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:29: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:31: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:33: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:34: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:35: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:36: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:51: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:52: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x_idle.v:55: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:17: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:21: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:22: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:25: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:28: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:29: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:31: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:32: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:33: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:34: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:35: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:36: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:37: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:42: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:43: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:44: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:66: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/e31x.v:134: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/sim/dram_test/dram_test_tb.sv:55: ba ==> by, be
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-3.prj:128: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/mig_7series_0/mig_xc7z020clg484-1.prj:128: Temparature ==> Temperature
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init.c:13313: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:209: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:213: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:217: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:229: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:233: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:245: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:249: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:253: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:257: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:261: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:321: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:337: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:341: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:345: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:349: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:357: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:361: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:365: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:369: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:373: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:377: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:381: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:385: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:389: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:393: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:397: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:409: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:413: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps.tcl:421: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg3.c:1066: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_frame_size.tcl:52: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl:108: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_tx.tcl:111: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl-sg1.c:1066: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/ps7_init_gpl.c:13304: miliseconds ==> milliseconds
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/chdr_dma_rx.tcl:290: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:571: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:575: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:583: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:587: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:615: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:651: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:659: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:671: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:675: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:679: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:683: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:691: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:695: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:699: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:703: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:707: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:711: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:715: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:719: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:723: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:727: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:731: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:735: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:747: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:751: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:755: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:763: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:767: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:771: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:962: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp3/top/e31x/ip/e31x_ps_bd/e31x_ps_bd.tcl:963: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/docs/Doxyfile:1066: therefor ==> therefore
data/uhd-4.0.0.0/fpga/docs/Doxyfile:1950: refrences ==> references
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:69: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:71: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:77: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:104: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:157: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:169: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:170: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v:230: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:123: conditon ==> condition
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:127: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:129: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:158: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:185: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:311: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:314: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:360: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v:364: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_top.v:73: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_top.v:75: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/verilog/i2c_master_top.v:249: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd:132: conditon ==> condition
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd:155: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd:323: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd:323: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd:328: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:10: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:37: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:40: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:41: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:70: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:73: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:74: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:91: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:93: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:94: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:125: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:126: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:331: conditon ==> condition
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:351: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:353: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:354: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:503: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/I2C.VHD:511: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/readme:21: altough ==> although
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/readme:22: usefull ==> useful
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_top.vhd:108: asynchornous ==> asynchronous
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_top.vhd:126: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_top.vhd:297: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:85: asynchornous ==> asynchronous
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:103: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:131: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:177: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:193: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:194: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd:260: initialy ==> initially
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/tst_ds1621.vhd:19: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/tst_ds1621.vhd:23: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/tst_ds1621.vhd:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/tst_ds1621.vhd:43: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/rtl/vhdl/tst_ds1621.vhd:276: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:52: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:72: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:89: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:118: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:133: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:160: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/wb_master_model.v:175: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/tst_bench_top.v:123: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/i2c_slave_model.v:82: outpus ==> output, outputs
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/i2c_slave_model.v:85: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/i2c_slave_model.v:105: transfered ==> transferred
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/i2c_slave_model.v:119: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/i2c/bench/verilog/i2c_slave_model.v:207: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/zpu/core/zpupkg.vhd:100: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_top.v:14: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_defines.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_defines.v:42: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_defines.v:65: nuber ==> number
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_shift.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_top16.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/rtl/verilog/spi_clgen.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:55: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:63: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:74: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:98: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:113: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:136: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/wb_master_model.v:151: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/spi_slave_model.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/spi_slave_model.v:54: transmiting ==> transmitting
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/tb_spi_top.v:15: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/tb_spi_top.v:90: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/tb_spi_top.v:136: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi/bench/verilog/tb_spi_top.v:143: devider ==> divider
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:82: Addapt ==> Adapt
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:92: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:94: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:118: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:129: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_gpio/rtl/simple_gpio.v:135: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_pic/rtl/simple_pic.v:83: Addapt ==> Adapt
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_pic/rtl/simple_pic.v:132: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_pic/rtl/simple_pic.v:138: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_pic/rtl/simple_pic.v:184: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/simple_pic/rtl/simple_pic.v:205: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/README:40: compatability ==> compatibility
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/README:43: triggerd ==> triggered
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/README:47: Compatability ==> Compatibility
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/doc/src/memory_organization.eps:83: ReEncode ==> re-encode
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/doc/src/memory_organization.eps:120: ReEncode ==> re-encode
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd:61: greather ==> greater
data/uhd-4.0.0.0/fpga/usrp2/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd:65: absoulte ==> absolute
data/uhd-4.0.0.0/fpga/usrp2/opencores/wb_zbt/wb_zbt.v:46: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_edk32.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_bpcu.v:5: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_xecu.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_ibuf.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_regf.v:5: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_regf.v:230: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_regf.v:241: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_ctrl.v:5: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_core_BE.v:41: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_sim.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_sim.v:101: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_sim.v:300: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/rtl/verilog/aeMB_core.v:5: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/sw/c/libaemb.h:5: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/sw/c/aeMB_testbench.c:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/sim/verilog/aemb2.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/aemb/sim/verilog/edk32.v:4: Ser ==> Set
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/decode_8b10b.v:119: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/decode_8b10b.v:153: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/encode_8b10b.v:73: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/encode_8b10b.v:115: fo ==> of, for
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:16: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:45: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:48: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:60: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:66: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:68: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:70: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:71: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:72: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:74: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:75: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:85: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:87: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:89: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:90: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:91: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:93: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/opencores/8b10b/validate_8b10b.v:94: testin ==> testing
data/uhd-4.0.0.0/fpga/usrp2/testbench/single_u2_sim.v:185: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x4.v:109: cant ==> can't
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x4.v:118: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x4.v:128: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x4.v:153: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x3.v:101: cant ==> can't
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x3.v:110: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x3.v:120: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/packet_dispatcher36_x3.v:142: ouput ==> output
data/uhd-4.0.0.0/fpga/usrp2/fifo/fifo_2clock.v:44: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/fifo_2clock.v:49: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/fifo_2clock.v:54: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/fifo_2clock.v:59: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/fifo_2clock.v:68: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/fifo/buffer_pool.v:22: interaces ==> interfaces
data/uhd-4.0.0.0/fpga/usrp2/fifo/ll8_to_fifo36.v:111: endianess ==> endianness
data/uhd-4.0.0.0/fpga/usrp2/gpif/gpif.v:24: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/gpif/slave_fifo.v:36: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ila.v:40: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:21: implment ==> implement
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:25: milage ==> mileage
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:120: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:133: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:147: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/ext_fifo.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/nobl_fifo.v:57: supress ==> suppress
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/nobl_fifo.v:88: temprary ==> temporary, temporarily
data/uhd-4.0.0.0/fpga/usrp2/extramfifo/icon.v:39: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:70: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:71: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:108: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:109: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:110: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:127: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/halfband_tb.v:127: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:96: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:97: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:119: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:120: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:121: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:138: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_int_tb.v:138: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:89: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:90: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:127: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:128: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:129: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:146: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_dec_tb.v:146: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:89: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:90: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:127: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:128: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:129: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:146: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/small_hb_dec_tb.v:146: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:96: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:97: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:119: occured ==> occurred
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:120: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:121: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:138: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb_interp_tb.v:138: noe ==> not, no, node, know, now
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/halfband_decim.v:153: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/halfband_decim.v:159: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/hbd_tb/HBD:23: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/hbd_tb/HBD:46: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/sdr_lib/hb/hbd_tb/HBD:51: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.asy:31: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho:40: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho:65: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho:65: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75_exdes.ncf:57: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75.xdc:60: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v:137: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v:137: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75.xco:140: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v:135: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v:135: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v:58: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v:133: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v:133: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc:3: DED ==> DEAD
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v:137: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v:137: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc:3: neeS ==> needs
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v:58: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v:131: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v:131: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho:40: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho:65: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho:65: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: FO ==> OF, FOR
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: OT ==> TO, OF, OR
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc:3: ND ==> AND, 2ND
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd:51: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd:68: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd:148: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd:148: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.asy:31: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.sym:11: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.sym:48: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v:137: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v:137: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc:3: adn ==> and
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.asy:31: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.sym:11: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.sym:48: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v:137: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v:137: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75.ucf:57: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.ngc:3: 3ND ==> 3RD
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v:137: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v:137: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:37: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:48: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:301: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:302: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:303: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:304: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:305: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:306: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:307: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:308: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:309: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:310: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:311: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:312: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:313: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:314: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:315: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:316: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:317: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:318: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:319: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:320: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:321: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:322: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:323: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:324: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:325: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:326: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:327: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:328: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:329: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:330: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:331: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:332: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:333: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:334: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:335: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v:336: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/coregen_s6.cgc:1064: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/coregen_s6.cgc:1458: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v:135: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl:17: containg ==> containing
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc:3: Ihs ==> His
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc:3: afe ==> safe
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc:3: DED ==> DEAD
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc:3: 3ND ==> 3RD
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc:3: adn ==> and
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc:3: mege ==> merge
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.veo:41: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.asy:31: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.ngc:3: nd ==> and, 2nd
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v:60: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v:135: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v:135: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd:51: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd:68: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd:148: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd:148: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.asy:31: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.xdc:62: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.ucf:57: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/control_lib/ram_loader.v:263: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/CRC16_D16.v:13: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/fpga/usrp2/control_lib/medfifo.v:35: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/medfifo.v:42: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/medfifo.v:47: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/medfifo.v:57: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/medfifo.v:58: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/gpio_atr.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/control_lib/nsgpio16LE.v:43: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/control_lib/nsgpio.v:42: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/control_lib/dpram32.v:20: botton ==> bottom, button
data/uhd-4.0.0.0/fpga/usrp2/control_lib/settings_bus_crossclock.v:34: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/pic.v:84: Addapt ==> Adapt
data/uhd-4.0.0.0/fpga/usrp2/control_lib/pic.v:145: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/control_lib/pic.v:166: synopsys ==> synopsis
data/uhd-4.0.0.0/fpga/usrp2/control_lib/ram_wb_harvard.v:21: botton ==> bottom, button
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/simple_gemac_tb.v:131: Increas ==> Increase
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/delay_line.v:25: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/delay_line.v:32: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/simple_gemac_wb.v:42: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/simple_gemac_wrapper.v:38: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/simple_gemac_rx.v:68: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/miim/eth_miim.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/miim/eth_miim.v:131: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/miim/eth_shiftreg.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/miim/eth_outputcontrol.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/simple_gemac/miim/eth_clockgen.v:11: avaliable ==> available
data/uhd-4.0.0.0/fpga/usrp2/models/gpmc_model_sync.v:21: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:186: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:213: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:214: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:269: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:310: Signle ==> Single
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:315: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:323: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:411: propogation ==> propagation
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:490: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:574: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:660: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:750: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:844: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1147: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1542: reseting ==> resetting
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1624: Retreive ==> Retrieve
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1791: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1796: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:1993: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:2788: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:2897: reseting ==> resetting
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:2941: Retreive ==> Retrieve
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3111: Dout ==> Doubt
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3117: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3221: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3332: consistant ==> consistent
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3354: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3354: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3543: attemped ==> attempted
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:3658: attemped ==> attempted
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V6_1.v:4446: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/models/gpmc_model_async.v:21: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:79: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:80: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:91: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:137: tHA ==> than, that, the
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:139: tHW ==> the, thaw
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:158: tHA ==> than, that, the
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:170: tHW ==> the, thaw
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:231: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:232: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:233: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:234: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/idt71v65603s150.v:237: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/IOBUF.v:35: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/miim_model.v:22: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/cpld_model.v:21: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/cpld_model.v:27: dout ==> doubt
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC02B.v:90: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC02B.v:455: tHI ==> the, this
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC02B.v:462: tHI ==> the, this
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:22: theis ==> this, thesis
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:86: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:209: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:227: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:354: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:436: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:520: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:583: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:615: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:684: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:759: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:899: reseting ==> resetting
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:1102: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:1217: seperately ==> separately
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:1925: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2003: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2081: reseting ==> resetting
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2250: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2446: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2446: DOUT ==> DOUBT
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2630: attemped ==> attempted
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:2745: attemped ==> attempted
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:3030: seperately ==> separately
data/uhd-4.0.0.0/fpga/usrp2/models/FIFO_GENERATOR_V4_3.v:3387: propogated ==> propagated
data/uhd-4.0.0.0/fpga/usrp2/models/PLL_ADV.v:52: Seperate ==> Separate
data/uhd-4.0.0.0/fpga/usrp2/models/PLL_ADV.v:1137: paramters ==> parameters
data/uhd-4.0.0.0/fpga/usrp2/models/PLL_ADV.v:1689: maximun ==> maximum
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC024B.v:92: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC024B.v:459: tHI ==> the, this
data/uhd-4.0.0.0/fpga/usrp2/models/M24LC024B.v:466: tHI ==> the, this
data/uhd-4.0.0.0/fpga/usrp2/models/CY7C1356C/cy1356.v:53: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/gpmc/gpmc_to_fifo.v:28: NWE ==> NEW
data/uhd-4.0.0.0/fpga/usrp2/gpmc/gpmc.v:27: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/gpmc/fifo_to_gpmc.v:28: NOE ==> NOT, NO, NODE, KNOW, NOW
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_core.v:58: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_core.v:134: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_core.v:135: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:55: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:62: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:118: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:119: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:155: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/USRP2/u2_rev3.v:172: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/python/check_inout.py:39: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/python/check_inout.py:41: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/u1plus_core.v:44: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/u1plus_core.v:44: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/u1plus_core.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/u1plus_core.v:47: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/B100.v:26: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/B100.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/B100.v:30: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/B100.v:38: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/B100/B100.v:38: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:50: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:51: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:67: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:67: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:100: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:130: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus.v:241: thats ==> that's
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus_core.v:58: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus_core.v:126: inout ==> input, in out
data/uhd-4.0.0.0/fpga/usrp2/top/N2x0/u2plus_core.v:127: inout ==> input, in out
data/uhd-4.0.0.0/mpm/python/usrp_mpm/fpga_bit_to_bin.py:18: endianess ==> endianness
data/uhd-4.0.0.0/mpm/python/usrp_mpm/user_eeprom.py:64: intializes ==> initializes
data/uhd-4.0.0.0/mpm/python/usrp_mpm/rpc_server.py:53: appropiate ==> appropriate
data/uhd-4.0.0.0/mpm/python/usrp_mpm/rpc_server.py:125: non-existant ==> non-existent
data/uhd-4.0.0.0/mpm/python/usrp_mpm/rpc_server.py:376: occured ==> occurred
data/uhd-4.0.0.0/mpm/python/usrp_mpm/sys_utils/net.py:93: compatability ==> compatibility
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/magnesium_update_cpld.py:114: compatibilty ==> compatibility
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/rhodium_update_cpld.py:108: compatibilty ==> compatibility
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/dac_rh.py:166: Erros ==> Errors
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/dac_rh.py:169: Erros ==> Errors
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/dac_rh.py:172: Erros ==> Errors
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/dac_rh.py:175: Erros ==> Errors
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/dac_rh.py:178: Cheksum ==> Checksum
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/lmk_rh.py:289: perfomance ==> performance
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/neon.py:151: intializes ==> initializes
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/lmk_eiscat.py:125: fro ==> for, from
data/uhd-4.0.0.0/mpm/python/usrp_mpm/dboard_manager/rhodium.py:85: futher ==> further
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/tdc_sync.py:103: theses ==> these, thesis
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/eyescan.py:22: perfom ==> perform
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/eyescan.py:102: genrated ==> generated
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/eyescan.py:144: retreive ==> retrieve
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/eyescan.py:192: controled ==> controlled
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/eyescan.py:518: transistions ==> transitions
data/uhd-4.0.0.0/mpm/python/usrp_mpm/cores/white_rabbit.py:28: Auxillary ==> Auxiliary
data/uhd-4.0.0.0/mpm/python/usrp_mpm/xports/xportmgr_udp.py:176: fowarding ==> forwarding
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/n3xx.py:92: doesnt' ==> doesn't
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/n3xx.py:503: dependant ==> dependent
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/e320.py:71: doesnt' ==> doesn't
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/e320.py:389: dependant ==> dependent
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/base.py:45: doesnt' ==> doesn't
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/base.py:735: containg ==> containing
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/base.py:769: convertable ==> convertible
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/base.py:864: dependant ==> dependent
data/uhd-4.0.0.0/mpm/python/usrp_mpm/periph_manager/e31x.py:66: doesnt' ==> doesn't
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos_gpio.c:3717: contol ==> control
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos_gpio.c:3932: contol ==> control
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:457: stucture ==> structure
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:489: stucture ==> structure
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:521: stucture ==> structure
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:5050: paramter ==> parameter
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7166: Invald ==> Invalid
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7314: paramter ==> parameter
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7316: paramter ==> parameter
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7736: coefficents ==> coefficients
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7738: coefficents ==> coefficients
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7740: coefficents ==> coefficients
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7930: bandwith ==> bandwidth
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:7932: bandwith ==> bandwidth
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:10644: determinstic ==> deterministic
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:14567: reseted ==> reset
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:17418: bandwith ==> bandwidth
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:17430: bandwith ==> bandwidth
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos.c:17435: bandwith ==> bandwidth
data/uhd-4.0.0.0/mpm/lib/mykonos/adi/mykonos_debug/mykonos_dbgjesd.c:1026: transfered ==> transferred
data/uhd-4.0.0.0/mpm/tools/mpm_debug.py:53: Dicovery ==> Discovery
data/uhd-4.0.0.0/mpm/tools/mpm_shell.py:305: Quering ==> Querying
data/uhd-4.0.0.0/tools/gr-usrptest/README.md:18: speficied ==> specified
data/uhd-4.0.0.0/tools/gr-usrptest/python/build_utils.py:36: dependeing ==> depending
data/uhd-4.0.0.0/tools/gr-usrptest/python/build_utils.py:45: dependeing ==> depending
data/uhd-4.0.0.0/tools/gr-usrptest/python/rts_tests/__init__.py:12: existance ==> existence
data/uhd-4.0.0.0/tools/gr-usrptest/python/flowgraphs/__init__.py:12: existance ==> existence
data/uhd-4.0.0.0/tools/gr-usrptest/python/labview_control/__init__.py:12: existance ==> existence
data/uhd-4.0.0.0/tools/gr-usrptest/docs/doxygen/Doxyfile.in:948: therefor ==> therefore
data/uhd-4.0.0.0/tools/gr-usrptest/docs/doxygen/Doxyfile.swig_doc.in:916: therefor ==> therefore
data/uhd-4.0.0.0/tools/gr-usrptest/cmake/Modules/GrMiscUtils.cmake:193: cant ==> can't
data/uhd-4.0.0.0/tools/gr-usrptest/cmake/Modules/GrMiscUtils.cmake:212: cant ==> can't
data/uhd-4.0.0.0/tools/gr-usrptest/cmake/Modules/GrSwig.cmake:38: formated ==> formatted
data/uhd-4.0.0.0/tools/gr-usrptest/cmake/Modules/CMakeParseArgumentsCopy.cmake:61: therefor ==> therefore
data/uhd-4.0.0.0/tools/gr-usrptest/apps/usrp_fpga_funcverif.py:37: overriden ==> overridden
data/uhd-4.0.0.0/tools/gr-usrptest/apps/usrp_fpga_funcverif.py:92: overriden ==> overridden
data/uhd-4.0.0.0/tools/gr-usrptest/apps/uhd_phase_alignment.py:281: propogate ==> propagate
data/uhd-4.0.0.0/tools/gr-usrptest/apps/uhd_phase_alignment.py:484: calulate ==> calculate
data/uhd-4.0.0.0/tools/uhd_txrx_debug_prints/uhd_txrx_debug_prints_graph.py:72: conert ==> convert
data/uhd-4.0.0.0/tools/uhd_txrx_debug_prints/uhd_txrx_debug_prints_graph.py:345: everythin ==> everything
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:234: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:382: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:427: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:472: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:579: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:742: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:786: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:831: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:876: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:920: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:965: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1010: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1055: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1100: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1176: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1351: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1434: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1502: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1615: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1688: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1731: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft.py:1776: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:239: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:397: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:442: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:487: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:595: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:793: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:837: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:882: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:927: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:971: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1016: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1061: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1106: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1151: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1227: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1402: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1493: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1561: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1719: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1811: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1854: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/mega_fft/mega_fft_2ch.py:1899: evaulating ==> evaluating
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.c:95: thats ==> that's
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.c:324: Errror ==> Error
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.c:388: embeded ==> embedded
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.c:486: clasification ==> classification
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.c:507: unsupport ==> unsupported
data/uhd-4.0.0.0/tools/uhd_dump/uhd_dump.h:77: strcture ==> structure
data/uhd-4.0.0.0/host/utils/b2xx_side_channel.py:627: ser ==> set
data/uhd-4.0.0.0/host/utils/b2xx_side_channel.py:632: ser ==> set
data/uhd-4.0.0.0/host/utils/b2xx_side_channel.py:711: ser ==> set
data/uhd-4.0.0.0/host/utils/b2xx_side_channel.py:715: ser ==> set
data/uhd-4.0.0.0/host/utils/usrp_burn_db_eeprom.cpp:63: ser ==> set
data/uhd-4.0.0.0/host/utils/usrp_burn_db_eeprom.cpp:104: ser ==> set
data/uhd-4.0.0.0/host/utils/usrp_burn_db_eeprom.cpp:105: ser ==> set
data/uhd-4.0.0.0/host/utils/usrp_cal_utils.hpp:235: didnt ==> didn't
data/uhd-4.0.0.0/host/utils/usrp2_card_burner.py:159: verfy ==> verify
data/uhd-4.0.0.0/host/utils/b2xx_fx3_utils.cpp:423: reseting ==> resetting
data/uhd-4.0.0.0/host/utils/uhd_config_info.cpp:27: built-time ==> build-time
data/uhd-4.0.0.0/host/utils/latency/lib/Responder.cpp:89: atributes ==> attributes
data/uhd-4.0.0.0/host/utils/latency/lib/Responder.cpp:248: shoud ==> should
data/uhd-4.0.0.0/host/utils/latency/lib/Responder.cpp:483: everytime ==> every time
data/uhd-4.0.0.0/host/utils/latency/lib/Responder.cpp:521: occured ==> occurred
data/uhd-4.0.0.0/host/utils/latency/include/Responder.hpp:209: accidently ==> accidentally
data/uhd-4.0.0.0/host/examples/rx_ascii_art_dft.cpp:171: metatdata ==> metadata
data/uhd-4.0.0.0/host/examples/rfnoc-example/apps/init_gain_block.cpp:10: runnging ==> running, rummaging
data/uhd-4.0.0.0/host/python/uhd/imgbuilder/image_builder.py:585: pathes ==> paths
data/uhd-4.0.0.0/host/python/uhd/usrp/cal/usrp_calibrator.py:85: overriden ==> overridden
data/uhd-4.0.0.0/host/python/uhd/usrp/cal/usrp_calibrator.py:111: conditionaly ==> conditionally
data/uhd-4.0.0.0/host/lib/utils/ihex.cpp:49: sucessfully ==> successfully
data/uhd-4.0.0.0/host/lib/utils/ihex.cpp:128: hander ==> handler
data/uhd-4.0.0.0/host/lib/utils/chdr/chdr_packet.cpp:146: Specifcation ==> Specification
data/uhd-4.0.0.0/host/lib/ic_reg_maps/gen_max2870_regs.py:63: VAS ==> WAS
data/uhd-4.0.0.0/host/lib/ic_reg_maps/gen_max2870_regs.py:66: vas ==> was
data/uhd-4.0.0.0/host/lib/ic_reg_maps/gen_max2871_regs.py:63: VAS ==> WAS
data/uhd-4.0.0.0/host/lib/ic_reg_maps/gen_max2112_regs.py:61: vas ==> was
data/uhd-4.0.0.0/host/lib/usrp/gps_ctrl.cpp:294: SER ==> SET
data/uhd-4.0.0.0/host/lib/usrp/gps_ctrl.cpp:295: SER ==> SET
data/uhd-4.0.0.0/host/lib/usrp/x300/x300_fw_common.h:96: indentifying ==> identifying
data/uhd-4.0.0.0/host/lib/usrp/x300/x300_mb_controller.cpp:210: reseting ==> resetting
data/uhd-4.0.0.0/host/lib/usrp/x300/x300_mb_controller.cpp:236: useable ==> usable
data/uhd-4.0.0.0/host/lib/usrp/usrp1/usrp1_impl.cpp:424: wont ==> won't
data/uhd-4.0.0.0/host/lib/usrp/common/fx2_ctrl.cpp:95: sucessfully ==> successfully
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:41: upto ==> up to
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:144: recomended ==> recommended
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:169: overfow ==> overflow
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:1236: Fo ==> Of, for
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:1686: Manaul ==> Manual
data/uhd-4.0.0.0/host/lib/usrp/common/ad9361_driver/ad9361_device.cpp:2328: correspondance ==> correspondence
data/uhd-4.0.0.0/host/lib/usrp/cores/time64_core_200.cpp:70: cant ==> can't
data/uhd-4.0.0.0/host/lib/usrp/cores/time64_core_200.cpp:84: cant ==> can't
data/uhd-4.0.0.0/host/lib/usrp/cores/rx_dsp_core_3000.cpp:208: thats ==> that's
data/uhd-4.0.0.0/host/lib/usrp/b100/b100_impl.hpp:35: pratical ==> practical
data/uhd-4.0.0.0/host/lib/usrp/b200/b200_impl.hpp:270: smaler ==> smaller
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_dbsrx2.cpp:286: seletion ==> selection, deletion
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_basic_and_lf.hpp:31: BA ==> BY, BE
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_basic_and_lf.hpp:34: BA ==> BY, BE
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_tvrx2.cpp:1077: intialize ==> initialize
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_tvrx2.cpp:1658: initialze ==> initialize
data/uhd-4.0.0.0/host/lib/usrp/dboard/db_dbsrx.cpp:252: inital ==> initial
data/uhd-4.0.0.0/host/lib/usrp/dboard/magnesium/magnesium_radio_control.cpp:537: actuall ==> actually, actual
data/uhd-4.0.0.0/host/lib/usrp/dboard/magnesium/magnesium_radio_control.cpp:575: actuall ==> actually, actual
data/uhd-4.0.0.0/host/lib/usrp/dboard/twinrx/twinrx_experts.cpp:263: implemeted ==> implemented
data/uhd-4.0.0.0/host/lib/usrp/mpmd/mpmd_mboard_impl.cpp:259: fowarding ==> forwarding
data/uhd-4.0.0.0/host/lib/usrp/mpmd/mpmd_find.cpp:100: informations ==> information
data/uhd-4.0.0.0/host/lib/usrp/usrp2/usrp2_clk_regs.hpp:51: unitialized ==> uninitialized
data/uhd-4.0.0.0/host/lib/usrp/usrp2/clock_ctrl.cpp:45: couldnt ==> couldn't
data/uhd-4.0.0.0/host/lib/usrp/usrp2/usrp2_iface.cpp:322: didnt ==> didn't
data/uhd-4.0.0.0/host/lib/usrp/usrp2/usrp2_impl.cpp:121: recieve ==> receive
data/uhd-4.0.0.0/host/lib/convert/convert_impl.cpp:94: didnt ==> didn't
data/uhd-4.0.0.0/host/lib/convert/convert_impl.cpp:126: didnt ==> didn't
data/uhd-4.0.0.0/host/lib/transport/gen_vrt_if_packet.py:13: metatdata ==> metadata
data/uhd-4.0.0.0/host/lib/transport/libusb1_zero_copy.cpp:236: transfered ==> transferred
data/uhd-4.0.0.0/host/lib/transport/libusb1_zero_copy.cpp:241: transfered ==> transferred
data/uhd-4.0.0.0/host/lib/transport/nirio_zero_copy.cpp:325: excetption ==> exception
data/uhd-4.0.0.0/host/lib/transport/muxed_zero_copy_if.cpp:219: Uninterruptable ==> Uninterruptible
data/uhd-4.0.0.0/host/lib/transport/nirio/niriok_proxy_impl_v1.cpp:59: accross ==> across
data/uhd-4.0.0.0/host/lib/transport/nirio/rpc/usrprio_rpc_client.cpp:75: upto ==> up to
data/uhd-4.0.0.0/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:124: tha ==> than, that, the
data/uhd-4.0.0.0/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:144: tha ==> than, that, the
data/uhd-4.0.0.0/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:606: tha ==> than, that, the
data/uhd-4.0.0.0/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:719: tha ==> than, that, the
data/uhd-4.0.0.0/host/lib/rfnoc/chdr_ctrl_endpoint.cpp:170: curent ==> current
data/uhd-4.0.0.0/host/lib/rfnoc/graph.cpp:417: resultion ==> resolution
data/uhd-4.0.0.0/host/lib/rfnoc/replay_block_control.cpp:22: compatability ==> compatibility
data/uhd-4.0.0.0/host/lib/rfnoc/chdr_tx_data_xport.cpp:177: wating ==> waiting
data/uhd-4.0.0.0/host/lib/deps/0002-rpclib-use-the-real-boost-asio-namespace.patch:166: pecularity ==> peculiarity
data/uhd-4.0.0.0/host/lib/deps/pybind11/README.md:14: seperate ==> separate
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/pybind11.h:1076: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/pybind11.h:1077: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/pybind11.h:1082: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/pybind11.h:1083: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/cast.h:616: ThisT ==> this
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/cast.h:627: ThisT ==> this
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/cast.h:676: ThisT ==> this
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/operators.h:54: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/operators.h:59: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/operators.h:63: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/operators.h:71: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/operators.h:75: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/attr.h:101: ot ==> to, of, or
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/numpy.h:60: nd ==> and, 2nd
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/numpy.h:588: nd ==> and, 2nd
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/numpy.h:1455: nd ==> and, 2nd
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/numpy.h:1457: nd ==> and, 2nd
data/uhd-4.0.0.0/host/lib/deps/pybind11/include/pybind11/numpy.h:1458: nd ==> and, 2nd
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/code_generators.h:57: delimeters ==> delimiters
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/stl_emulation.h:178: implemenation ==> implementation
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/base.h:98: compatability ==> compatibility
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/util.h:449: wether ==> weather, whether
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/minireflect.h:41: wether ==> weather, whether
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/flatbuffers.h:1912: structues ==> structures
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/flatbuffers.h:2099: substraction ==> subtraction
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/flatbuffers.h:2490: mimimal ==> minimal
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/flexbuffers.h:1029: aligment ==> alignment
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/flexbuffers.h:1049: seach ==> search
data/uhd-4.0.0.0/host/lib/deps/flatbuffers/include/flatbuffers/idl.h:216: potentally ==> potentially
data/uhd-4.0.0.0/host/lib/deps/rpclib/README.md:59: paramters ==> parameters
data/uhd-4.0.0.0/host/lib/deps/rpclib/lib/rpc/client.cc:115: pecularity ==> peculiarity
data/uhd-4.0.0.0/host/lib/deps/rpclib/lib/rpc/client.cc:129: throught ==> thought, through, throughout
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/unpack.hpp:1021: retunrs ==> returns
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/object.hpp:614: beter ==> better
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/object.hpp:620: beter ==> better
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/object.hpp:626: beter ==> better
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:102: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:113: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:134: shoudn't ==> shouldn't
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:142: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:187: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:211: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/ext.hpp:222: aleady ==> already
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/adaptor/boost/optional.hpp:25: supress ==> suppress
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/control.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/punctuation/remove_parens.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/to_array.hpp:24: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/to_tuple.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/detail/fold_left.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/detail/edg/fold_right.hpp:17: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/detail/edg/fold_left.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/list/detail/dmc/fold_left.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/comparison/not_equal.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/comparison/less.hpp:20: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/tuple/remove.hpp:22: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/tuple/pop_back.hpp:22: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/tuple/pop_front.hpp:22: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/tuple/detail/is_single_return.hpp:20: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/repetition/detail/for.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/repetition/detail/dmc/for.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/detail/auto_rec.hpp:21: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/detail/dmc/auto_rec.hpp:15: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/control/if.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/control/detail/while.hpp:17: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/control/detail/dmc/while.hpp:17: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/selection/max.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/selection/min.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/array/insert.hpp:21: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/array/remove.hpp:21: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/array/replace.hpp:21: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/array/detail/get_data.hpp:18: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/variadic/detail/is_single_return.hpp:20: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/facilities/is_empty_or_1.hpp:15: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/preprocessor/debug/assert.hpp:19: iif ==> if
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/predef/other/endian.h:35: programatic ==> programmatic
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/predef/other/endian.h:136: endianess ==> endianness
data/uhd-4.0.0.0/host/lib/deps/rpclib/include/rpc/msgpack/predef/os/cygwin.h:17: evironment ==> environment
data/uhd-4.0.0.0/host/lib/experts/expert_container.cpp:436: dependant ==> dependent
data/uhd-4.0.0.0/host/lib/include/uhdlib/utils/auto_timer.hpp:26: caling ==> calling, scaling, culling
data/uhd-4.0.0.0/host/lib/include/uhdlib/utils/auto_timer.hpp:35: caling ==> calling, scaling, culling
data/uhd-4.0.0.0/host/lib/include/uhdlib/utils/auto_timer.hpp:44: caling ==> calling, scaling, culling
data/uhd-4.0.0.0/host/lib/include/uhdlib/utils/auto_timer.hpp:55: caling ==> calling, scaling, culling
data/uhd-4.0.0.0/host/lib/include/uhdlib/utils/semaphore.hpp:16: sempahore ==> semaphore
data/uhd-4.0.0.0/host/lib/include/uhdlib/usrp/gpio_defs.hpp:39: ouput ==> output
data/uhd-4.0.0.0/host/lib/include/uhdlib/usrp/cores/radio_ctrl_core_3000.hpp:35: thats ==> that's
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/rx_streamer_impl.hpp:224: occured ==> occurred
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/rx_streamer_impl.hpp:230: occured ==> occurred
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/dpdk_io_service_client.hpp:116: accomodate ==> accommodate
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/rx_streamer_zero_copy.hpp:149: occured ==> occurred
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/rx_streamer_zero_copy.hpp:155: occured ==> occurred
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/offload_io_service_client.hpp:137: accomodate ==> accommodate
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/tx_streamer_zero_copy.hpp:79: sucessful ==> successful
data/uhd-4.0.0.0/host/lib/include/uhdlib/transport/dpdk/arp.hpp:16: tha ==> than, that, the
data/uhd-4.0.0.0/host/lib/include/uhdlib/rfnoc/mb_iface.hpp:25: subystem ==> subsystem
data/uhd-4.0.0.0/host/lib/include/uhdlib/rfnoc/async_msg.hpp:78: eror ==> error
data/uhd-4.0.0.0/host/lib/include/uhdlib/rfnoc/graph.hpp:304: everytime ==> every time
data/uhd-4.0.0.0/host/docs/usrp_x3x0.dox:505: compatibilty ==> compatibility
data/uhd-4.0.0.0/host/docs/logging.dox:25: errorneus ==> erroneous
data/uhd-4.0.0.0/host/docs/uhd.dox:8: publically ==> publicly
data/uhd-4.0.0.0/host/docs/dboards.dox:19: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/dboards.dox:54: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/dboards.dox:59: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/dboards.dox:68: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/dboards.dox:77: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/dboards.dox:82: BA ==> BY, BE
data/uhd-4.0.0.0/host/docs/ni_rio_kernel.dox:116: reenable ==> re-enable
data/uhd-4.0.0.0/host/docs/power.dox:228: upate ==> update
data/uhd-4.0.0.0/host/docs/power.dox:289: modulues ==> modules
data/uhd-4.0.0.0/host/docs/CMakeLists.txt:101: built-time ==> build-time
data/uhd-4.0.0.0/host/cmake/debian/changelog:304: arbitraty ==> arbitrary
data/uhd-4.0.0.0/host/cmake/debian/changelog:600: ouptut ==> output
data/uhd-4.0.0.0/host/cmake/debian/changelog:763: BA ==> BY, BE
data/uhd-4.0.0.0/host/cmake/debian/changelog:840: tiemout ==> timeout
data/uhd-4.0.0.0/host/cmake/debian/changelog:924: descirptor ==> descriptor
data/uhd-4.0.0.0/host/cmake/debian/copyright:673: Ser ==> Set
data/uhd-4.0.0.0/host/cmake/debian/copyright:831: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/host/cmake/debian/copyright:845: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/host/cmake/debian/copyright:879: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/host/cmake/debian/copyright:951: MERCHANTIBILITY ==> MERCHANTABILITY
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:248: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:306: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:397: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:401: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:406: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:410: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:411: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:426: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:427: IsNT ==> isn't
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:856: diretories ==> directories
data/uhd-4.0.0.0/host/cmake/Modules/NSIS.template.in:879: diretories ==> directories
data/uhd-4.0.0.0/host/cmake/Modules/UHDPython.cmake:46: build-in ==> built-in
data/uhd-4.0.0.0/host/cmake/Modules/UHDConfig.cmake.in:10: Priorty ==> Priority
data/uhd-4.0.0.0/host/cmake/Modules/CMakeRC.cmake:575: soure ==> source, sure, sore, sour, soured
data/uhd-4.0.0.0/host/tests/vrt_test.cpp:174: suported ==> supported
data/uhd-4.0.0.0/host/tests/subdev_spec_test.cpp:23: BA ==> BY, BE
data/uhd-4.0.0.0/host/tests/subdev_spec_test.cpp:24: BA ==> BY, BE
data/uhd-4.0.0.0/host/tests/convert_test.cpp:44: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:46: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:47: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:375: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:378: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:379: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:419: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:422: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/convert_test.cpp:423: interm ==> interim, intern
data/uhd-4.0.0.0/host/tests/packet_handler_benchmark.cpp:139: tring ==> trying, string, ring
data/uhd-4.0.0.0/host/tests/rx_streamer_test.cpp:502: meatadata ==> metadata
data/uhd-4.0.0.0/host/tests/devtest/multi_usrp_test.py:16: mantains ==> maintains
data/uhd-4.0.0.0/host/tests/devtest/run_testsuite.py:95: ser ==> set
data/uhd-4.0.0.0/host/tests/devtest/run_testsuite.py:98: ser ==> set
data/uhd-4.0.0.0/host/tests/common/chdr_resource/format_trace.py:57: seperate ==> separate
data/uhd-4.0.0.0/host/tests/streaming_performance/run_E3xx_max_rate_tests.py:7: neighborhoood ==> neighborhood
data/uhd-4.0.0.0/host/tests/streaming_performance/run_N3xx_max_rate_tests.py:7: neighborhoood ==> neighborhood
data/uhd-4.0.0.0/host/tests/streaming_performance/run_X3xx_max_rate_tests.py:7: neighborhoood ==> neighborhood
data/uhd-4.0.0.0/host/tests/rfnoc_block_tests/moving_average_block_test.cpp:65: intial ==> initial
data/uhd-4.0.0.0/host/include/uhd/rfnoc_graph.hpp:121: peformed ==> performed
data/uhd-4.0.0.0/host/include/uhd/rfnoc_graph.hpp:160: connnected ==> connected
data/uhd-4.0.0.0/host/include/uhd/utils/byteswap.ipp:36: DNE ==> DONE
data/uhd-4.0.0.0/host/include/uhd/utils/log.hpp:26: aquire ==> acquire
data/uhd-4.0.0.0/host/include/uhd/utils/log.hpp:54: compiletime ==> compile time
data/uhd-4.0.0.0/host/include/uhd/utils/log.hpp:55: compiletime ==> compile time
data/uhd-4.0.0.0/host/include/uhd/usrp/fe_connection.hpp:33: inverion ==> inversion
data/uhd-4.0.0.0/host/include/uhd/usrp/dboard_manager.hpp:143: defered ==> deferred
data/uhd-4.0.0.0/host/include/uhd/transport/muxed_zero_copy_if.hpp:37: fuctions ==> functions
data/uhd-4.0.0.0/host/include/uhd/rfnoc/chdr_types.hpp:870: representaiton ==> representation
data/uhd-4.0.0.0/host/include/uhd/rfnoc/registry.hpp:102: availble ==> available
data/uhd-4.0.0.0/host/include/uhd/rfnoc/mock_block.hpp:163: appropiately ==> appropriately
data/uhd-4.0.0.0/host/include/uhd/rfnoc/multichan_register_iface.hpp:260: continueing ==> continuing
data/uhd-4.0.0.0/host/include/uhd/rfnoc/register_iface.hpp:236: continueing ==> continuing
data/uhd-4.0.0.0/host/include/uhd/rfnoc/register_iface.hpp:272: excecuted ==> executed
data/uhd-4.0.0.0/host/include/uhd/rfnoc/noc_block_base.hpp:188: continous ==> continuous
data/uhd-4.0.0.0/host/include/uhd/rfnoc/mb_controller.hpp:350: syncrhonize ==> synchronize
data/uhd-4.0.0.0/host/include/uhd/rfnoc/blocks/fosphor.yml:40: hist ==> heist, his
data/uhd-4.0.0.0/host/include/uhd/cal/pwr_cal.fbs:7: coefficents ==> coefficients
data/uhd-4.0.0.0/debian/UHDConfig.cmake.in:10: Priorty ==> Priority
data/uhd-4.0.0.0/debian/changelog:338: ouptut ==> output
data/uhd-4.0.0.0/debian/changelog:566: BA ==> BY, BE
data/uhd-4.0.0.0/debian/changelog:648: tiemout ==> timeout
data/uhd-4.0.0.0/debian/copyright:1251: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1255: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1259: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1263: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1267: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1271: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1275: Ser ==> Set
data/uhd-4.0.0.0/debian/copyright:1371: sav ==> save
data/uhd-4.0.0.0/debian/copyright:1372: sav ==> save
data/uhd-4.0.0.0/debian/copyright:3882: Securiy ==> Security
data/uhd-4.0.0.0/debian/rules:36: failes ==> fails
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:39: tha ==> than, that, the
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:40: tha ==> than, that, the
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:386: tha ==> than, that, the
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:387: tha ==> than, that, the
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:495: tha ==> than, that, the
data/uhd-4.0.0.0/debian/patches/use-debian-dpdk-version:496: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/0006-uhd-clang-format-device-impl.patch/host/lib/usrp/mpmd/mpmd_find.cpp:99: informations ==> information
data/uhd-4.0.0.0/.pc/0006-uhd-clang-format-device-impl.patch/host/lib/usrp/usrp2/usrp2_impl.cpp:118: recieve ==> receive
data/uhd-4.0.0.0/.pc/0007-lib-disable-non-pcie-types-in-find-with-resource.patch/host/lib/usrp/mpmd/mpmd_find.cpp:100: informations ==> information
data/uhd-4.0.0.0/.pc/0007-lib-disable-non-pcie-types-in-find-with-resource.patch/host/lib/usrp/usrp1/usrp1_impl.cpp:418: wont ==> won't
data/uhd-4.0.0.0/.pc/0007-lib-disable-non-pcie-types-in-find-with-resource.patch/host/lib/usrp/usrp2/usrp2_impl.cpp:118: recieve ==> receive
data/uhd-4.0.0.0/.pc/0014-n310-n300-Allow-gain-coercion.patch/host/lib/usrp/dboard/magnesium/magnesium_radio_control.cpp:525: actuall ==> actually, actual
data/uhd-4.0.0.0/.pc/0014-n310-n300-Allow-gain-coercion.patch/host/lib/usrp/dboard/magnesium/magnesium_radio_control.cpp:563: actuall ==> actually, actual
data/uhd-4.0.0.0/.pc/use-debian-dpdk-version/host/lib/include/uhdlib/transport/dpdk/arp.hpp:16: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/use-debian-dpdk-version/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:124: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/use-debian-dpdk-version/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:144: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/use-debian-dpdk-version/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:606: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/use-debian-dpdk-version/host/lib/transport/uhd-dpdk/dpdk_io_service.cpp:719: tha ==> than, that, the
data/uhd-4.0.0.0/.pc/doxygen-pdf/host/docs/CMakeLists.txt:100: built-time ==> build-time