data/verilator-4.038/verilator.txt:20: Synopsys ==> Synopsis
data/verilator-4.038/verilator.txt:2619: synopsys ==> synopsis
data/verilator-4.038/verilator.txt:2619: synopsys ==> synopsis
data/verilator-4.038/verilator.txt:2749: synopsys ==> synopsis
data/verilator-4.038/verilator.txt:2750: synopsys ==> synopsis
data/verilator-4.038/verilator.txt:3289: Inout ==> Input, in out
data/verilator-4.038/verilator.txt:3297: inout ==> input, in out
data/verilator-4.038/verilator.txt:3309: inout ==> input, in out
data/verilator-4.038/verilator.txt:3385: inout ==> input, in out
data/verilator-4.038/verilator.txt:4071: inout ==> input, in out
data/verilator-4.038/verilator.txt:4401: Synopsys ==> Synopsis
data/verilator-4.038/verilator.txt:4852: DECies ==> decides
data/verilator-4.038/configure:1356: peformance ==> performance
data/verilator-4.038/verilator-config.cmake.in:40: excecutable ==> executable
data/verilator-4.038/README.adoc:94: Synopsys ==> Synopsis
data/verilator-4.038/verilator.html:78: Inout ==> Input, in out
data/verilator-4.038/verilator.html:124: Synopsys ==> Synopsis
data/verilator-4.038/verilator.html:1131: savable ==> saveable
data/verilator-4.038/verilator.html:2507: synopsys ==> synopsis
data/verilator-4.038/verilator.html:2507: synopsys ==> synopsis
data/verilator-4.038/verilator.html:2642: synopsys ==> synopsis
data/verilator-4.038/verilator.html:2642: synopsys ==> synopsis
data/verilator-4.038/verilator.html:3045: Synopsys ==> Synopsis
data/verilator-4.038/verilator.html:3093: Inout ==> Input, in out
data/verilator-4.038/verilator.html:3099: inout ==> input, in out
data/verilator-4.038/verilator.html:3110: inout ==> input, in out
data/verilator-4.038/verilator.html:3162: inout ==> input, in out
data/verilator-4.038/verilator.html:3779: inout ==> input, in out
data/verilator-4.038/verilator.html:4068: Synopsys ==> Synopsis
data/verilator-4.038/verilator.html:4383: DECies ==> decides
data/verilator-4.038/configure.ac:36: peformance ==> performance
data/verilator-4.038/Changes:709: inout ==> input, in out
data/verilator-4.038/Changes:832: inouts ==> inputs
data/verilator-4.038/Changes:1637: loosing ==> losing
data/verilator-4.038/Changes:1744: suppressable ==> suppressible
data/verilator-4.038/Changes:1845: inout ==> input, in out
data/verilator-4.038/Changes:2187: inouts ==> inputs
data/verilator-4.038/Changes:2634: inout ==> input, in out
data/verilator-4.038/include/verilated_heavy.h:481: ths ==> the, this
data/verilator-4.038/include/verilated_heavy.h:483: ths ==> the, this
data/verilator-4.038/include/verilatedos.h:95: aquires ==> acquires
data/verilator-4.038/include/verilatedos.h:98: aquired ==> acquired
data/verilator-4.038/include/verilatedos.h:99: aquired ==> acquired
data/verilator-4.038/include/verilated_fst_c.h:162: propage ==> propagate
data/verilator-4.038/include/verilated_fst_c.h:166: propage ==> propagate
data/verilator-4.038/include/verilated.cpp:253: overriden ==> overridden
data/verilator-4.038/include/verilated.cpp:254: overriden ==> overridden
data/verilator-4.038/include/verilated.cpp:1649: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1653: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1653: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1665: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1667: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1669: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:1671: ths ==> the, this
data/verilator-4.038/include/verilated.cpp:2089: multipler ==> multiplier, multiple
data/verilator-4.038/include/verilated.h:324: Maxium ==> Maximum
data/verilator-4.038/include/verilated_vpi.cpp:414: Maxium ==> Maximum
data/verilator-4.038/include/verilated_vpi.cpp:1510: signifcant ==> significant
data/verilator-4.038/include/verilated_vpi.cpp:1510: existant ==> existent
data/verilator-4.038/include/verilated_vpi.cpp:1514: existant ==> existent
data/verilator-4.038/include/verilated_vpi.cpp:1560: signifcant ==> significant
data/verilator-4.038/include/verilated_vpi.cpp:1560: existant ==> existent
data/verilator-4.038/include/verilated_vpi.cpp:1564: existant ==> existent
data/verilator-4.038/include/verilated_vpi.cpp:1987: occured ==> occurred
data/verilator-4.038/include/verilated_vcd_c.h:373: propage ==> propagate
data/verilator-4.038/include/verilated_vcd_c.h:377: propage ==> propagate
data/verilator-4.038/include/verilated_vcd_c.cpp:794: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:814: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:831: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:845: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:858: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:873: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:880: doub ==> doubt, daub
data/verilator-4.038/include/verilated_vcd_c.cpp:887: doub ==> doubt, daub
data/verilator-4.038/include/vltstd/vpi_user.h:328: inout ==> input, in out
data/verilator-4.038/test_regress/driver.pl:867: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:1698: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:1711: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:1776: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:1783: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:1807: savable ==> saveable
data/verilator-4.038/test_regress/driver.pl:2497: Synopsys ==> Synopsis
data/verilator-4.038/test_regress/driver.pl:2506: Synopsys ==> Synopsis
data/verilator-4.038/test_regress/driver.pl:2728: Synopsys ==> Synopsis
data/verilator-4.038/test_regress/vgen.pl:87: applyable ==> applicable
data/verilator-4.038/test_regress/t/t_tri_various.v:19: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:26: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:33: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:42: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:46: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:55: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_various.v:151: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:155: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:161: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:167: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:169: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:171: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:176: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:176: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:176: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:176: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:184: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:190: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_various.v:205: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_alias_unsup.v:42: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_alias_unsup.v:43: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_split_var_2_trace.pl:16: performace ==> performance
data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.v:10: blak ==> black, blank
data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.v:11: blak ==> black, blank
data/verilator-4.038/test_regress/t/t_split_var_1_bad.v:16: splitted ==> split
data/verilator-4.038/test_regress/t/t_split_var_1_bad.v:31: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_var_overzero.v:9: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:16: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:24: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:24: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:36: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:46: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overzero.v:48: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_ref_bad3.v:7: suppressable ==> suppressible
data/verilator-4.038/test_regress/t/t_param_func.v:3: paramter ==> parameter
data/verilator-4.038/test_regress/t/t_udp_bad.out:5: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_auto1.v:14: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_case_auto1.v:26: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_var_escape.v:9: ket ==> kept
data/verilator-4.038/test_regress/t/t_var_escape.v:28: ket ==> kept
data/verilator-4.038/test_regress/t/t_var_escape.v:29: ket ==> kept
data/verilator-4.038/test_regress/t/t_var_escape.v:50: ket ==> kept
data/verilator-4.038/test_regress/t/t_tri_inout.cpp:55: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_accessors.cpp:174: waht ==> what
data/verilator-4.038/test_regress/t/t_x_assign.cpp:27: expectd ==> expected
data/verilator-4.038/test_regress/t/t_case_write2_tasks.v:1999: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_case_write2_tasks.v:2003: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_time_literals.v:7: checkd ==> checked
data/verilator-4.038/test_regress/t/t_gate_basic.v:33: ba ==> by, be
data/verilator-4.038/test_regress/t/t_gate_basic.v:34: ba ==> by, be
data/verilator-4.038/test_regress/t/t_gate_basic.v:72: ba ==> by, be
data/verilator-4.038/test_regress/t/t_gate_elim.v:31: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_gate_elim.v:31: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_gate_elim.v:110: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.out:1: INOUT ==> INPUT, IN OUT
data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.out:2: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_gen_missing.v:10: instatiate ==> instantiate
data/verilator-4.038/test_regress/t/t_gen_missing.v:12: instatiate ==> instantiate
data/verilator-4.038/test_regress/t/t_gen_missing.v:37: instatiate ==> instantiate
data/verilator-4.038/test_regress/t/t_gen_missing.v:42: instatiate ==> instantiate
data/verilator-4.038/test_regress/t/t_enum_public.cpp:22: ALLONE ==> ALONE, ALL ONE
data/verilator-4.038/test_regress/t/t_tri_inout2.v:46: shold ==> should, hold, sold
data/verilator-4.038/test_regress/t/t_tri_inout2.v:60: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_flag_csplit_off.pl:16: Thi ==> The, this
data/verilator-4.038/test_regress/t/t_flag_csplit_off.pl:50: spliting ==> splitting
data/verilator-4.038/test_regress/t/t_alw_reorder.v:41: absense ==> absence
data/verilator-4.038/test_regress/t/t_interface.v:98: incremet ==> increment
data/verilator-4.038/test_regress/t/t_bind2.v:38: implictly ==> implicitly
data/verilator-4.038/test_regress/t/t_bind2.v:39: explictly ==> explicitly
data/verilator-4.038/test_regress/t/t_bind2.v:40: explictly ==> explicitly
data/verilator-4.038/test_regress/t/t_sys_fread.v:8: checkd ==> checked
data/verilator-4.038/test_regress/t/t_tri_gen.v:29: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_gen.v:40: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_gen.v:43: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_func_const_bad.v:11: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:17: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:157: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:173: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:219: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:245: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:263: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:275: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:293: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:311: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:329: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:429: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:1562: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:1680: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:1880: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:1998: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:1999: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2002: te ==> the, be, we
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2012: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2029: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2071: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2166: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2184: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2202: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2222: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2232: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2242: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2265: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2284: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2304: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2321: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2346: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2359: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2379: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2420: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2445: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2458: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2469: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_case_write1_tasks.v:2479: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_altera_lpm.v:239: assigment ==> assignment
data/verilator-4.038/test_regress/t/t_altera_lpm.v:239: mising ==> missing
data/verilator-4.038/test_regress/t/t_altera_lpm.v:580: assigment ==> assignment
data/verilator-4.038/test_regress/t/t_altera_lpm.v:1266: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:1614: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:1747: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:1827: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:1908: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2000: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2043: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2177: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2394: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2738: addtion ==> addition
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2781: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2799: comparation ==> comparison
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2809: comparision ==> comparison
data/verilator-4.038/test_regress/t/t_altera_lpm.v:2966: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3245: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3258: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3279: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3289: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3291: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3298: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3399: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3405: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3409: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3411: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3411: numer ==> number
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3461: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3525: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:3801: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4155: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4355: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4445: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4620: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4725: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4914: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4959: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_altera_lpm.v:4998: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:5177: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:5270: FUNCTON ==> FUNCTION
data/verilator-4.038/test_regress/t/t_altera_lpm.v:5400: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:5724: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:6034: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:6462: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:6589: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_altera_lpm.v:6748: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_altera_lpm.v:6777: CONTINOUS ==> CONTINUOUS
data/verilator-4.038/test_regress/t/t_var_overcmp.v:9: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overcmp.v:19: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_overcmp.v:21: dout ==> doubt
data/verilator-4.038/test_regress/t/t_display.v:143: hel ==> help, hell, heal
data/verilator-4.038/test_regress/t/t_display.v:144: hel ==> help, hell, heal
data/verilator-4.038/test_regress/t/t_display.v:145: hel ==> help, hell, heal
data/verilator-4.038/test_regress/t/t_tri_ifbegin.v:21: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_ifbegin.v:29: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_ifbegin.v:30: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_assert_cover.v:118: precidence ==> precedence
data/verilator-4.038/test_regress/t/t_param_array.v:59: consants ==> constants
data/verilator-4.038/test_regress/t/t_var_port_bad.out:1: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_func_begin2.v:10: ba ==> by, be
data/verilator-4.038/test_regress/t/t_func_begin2.v:19: ba ==> by, be
data/verilator-4.038/test_regress/t/t_tri_select.v:37: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_select.v:41: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sys_file_basic.v:149: ba ==> by, be
data/verilator-4.038/test_regress/t/t_sys_file_basic.v:150: ba ==> by, be
data/verilator-4.038/test_regress/t/t_sys_file_basic.v:201: ba ==> by, be
data/verilator-4.038/test_regress/t/t_sys_file_basic.v:202: ba ==> by, be
data/verilator-4.038/test_regress/t/t_var_ref_bad1.v:7: suppressable ==> suppressible
data/verilator-4.038/test_regress/t/t_struct_packed_value_list.v:72: chack ==> check, chalk, cheque
data/verilator-4.038/test_regress/t/t_struct_packed_value_list.v:72: agains ==> against, again
data/verilator-4.038/test_regress/t/t_struct_packed_value_list.v:105: chack ==> check, chalk, cheque
data/verilator-4.038/test_regress/t/t_struct_packed_value_list.v:105: agains ==> against, again
data/verilator-4.038/test_regress/t/t_tri_dangle.v:8: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_dangle.v:11: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_dangle.v:12: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_dangle.v:15: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_dangle.v:26: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_dangle.v:30: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_dangle.v:31: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_mem_fifo.v:103: Equivelent ==> Equivalent
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:39: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:106: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:107: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:108: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:109: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:110: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:111: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:112: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:113: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:115: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:118: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:120: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:122: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:124: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:125: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:126: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:127: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:130: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:131: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:132: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:133: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:134: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:135: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:136: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:137: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:139: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:142: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:144: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:146: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:148: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:149: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:150: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:151: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:154: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:155: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:156: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:157: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:158: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:159: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:162: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:163: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:164: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:165: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:166: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:167: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:170: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:171: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:172: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:173: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:174: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:175: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:178: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:179: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:180: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:181: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:182: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:183: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:186: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:187: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:188: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:189: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:190: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:191: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:194: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:195: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:196: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:197: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:198: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:199: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:307: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:314: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:321: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:328: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:335: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:342: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:349: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:356: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:364: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:373: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:381: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:389: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:397: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:409: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:422: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:430: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:439: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:446: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:453: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:460: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:467: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:474: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:481: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:488: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:496: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:505: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:513: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:521: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:529: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:541: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:554: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:562: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:571: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:579: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:587: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:595: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:603: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:611: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:620: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:628: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:636: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:644: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:652: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:660: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:669: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:677: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:685: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:693: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:701: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:709: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:718: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:726: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:734: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:742: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:750: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:758: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:767: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:775: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:783: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:791: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:799: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:807: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:816: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:824: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:832: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:840: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:848: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.v:856: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_var_port2_bad.out:1: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_var_port2_bad.out:4: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_var_ref_bad2.v:7: suppressable ==> suppressible
data/verilator-4.038/test_regress/t/t_tri_public.v:51: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_public.v:61: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_clk_first.v:117: resetted ==> reset
data/verilator-4.038/test_regress/t/t_clk_first.v:123: resetted ==> reset
data/verilator-4.038/test_regress/t/t_clk_first.v:126: resetted ==> reset
data/verilator-4.038/test_regress/t/t_clk_first.v:126: resetted ==> reset
data/verilator-4.038/test_regress/t/t_flag_parameter_hier.pl:14: overwridden ==> overridden, overwritten
data/verilator-4.038/test_regress/t/t_tri_unconn.v:83: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_unconn.v:83: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sys_file_basic_input.dat:5: ba ==> by, be
data/verilator-4.038/test_regress/t/t_vams_wreal.v:126: Implicity ==> Implicitly
data/verilator-4.038/test_regress/t/t_dpi_import.v:65: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_gen_intdot2.v:70: accoring ==> according, occurring
data/verilator-4.038/test_regress/t/t_gen_intdot2.v:81: accoring ==> according, occurring
data/verilator-4.038/test_regress/t/t_gen_intdot2.v:101: accoring ==> according, occurring
data/verilator-4.038/test_regress/t/t_gen_intdot2.v:124: accoring ==> according, occurring
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:456: persistance ==> persistence
data/verilator-4.038/test_regress/t/t_stream.v:18: occurences ==> occurrences
data/verilator-4.038/test_regress/t/t_stream.v:20: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:30: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:30: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:31: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:31: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:32: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:32: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:33: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:33: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:34: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:34: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:40: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:40: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:41: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:41: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:42: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:42: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:43: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:43: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:44: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:44: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:48: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:48: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:49: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:49: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:50: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:50: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:51: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:51: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:52: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:52: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:56: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:56: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:57: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:57: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:58: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:58: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:59: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:59: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:60: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:60: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:66: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:66: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:67: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:67: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:68: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:68: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:69: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:69: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:70: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:70: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:77: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:77: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:78: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:78: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:79: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:79: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:80: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:80: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:81: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:81: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:86: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:86: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:87: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:87: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:88: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:88: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:89: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:89: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:90: dout ==> doubt
data/verilator-4.038/test_regress/t/t_stream.v:90: dout ==> doubt
data/verilator-4.038/test_regress/t/t_preproc.v:321: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_preproc.v:329: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_preproc.v:441: existance ==> existence
data/verilator-4.038/test_regress/t/t_math_real.v:86: accoding ==> according
data/verilator-4.038/test_regress/t/t_split_var_1_bad.out:53: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_split_var_1_bad.out:55: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_assert_synth.v:31: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_assert_synth.v:50: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_assert_synth.v:53: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_assert_synth.v:55: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_embed1.v:54: embeded ==> embedded
data/verilator-4.038/test_regress/t/t_display_string.out:4: parm ==> param, pram, parma
data/verilator-4.038/test_regress/t/t_array_pattern_packed.v:108: chack ==> check, chalk, cheque
data/verilator-4.038/test_regress/t/t_array_pattern_packed.v:108: agains ==> against, again
data/verilator-4.038/test_regress/t/t_array_pattern_packed.v:143: chack ==> check, chalk, cheque
data/verilator-4.038/test_regress/t/t_array_pattern_packed.v:143: agains ==> against, again
data/verilator-4.038/test_regress/t/t_tri_array_bufif.v:29: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_array_bufif.v:84: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_array_bufif.v:106: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_array_packed_sysfunct.v:62: dimention ==> dimension
data/verilator-4.038/test_regress/t/t_tri_array.v:66: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_pull2_bad.v:15: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_tri_pull2_bad.v:20: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_interface_array.v:48: Defferred ==> Deferred
data/verilator-4.038/test_regress/t/t_interface_array.v:54: Defferred ==> Deferred
data/verilator-4.038/test_regress/t/t_interface_array.v:59: Defferred ==> Deferred
data/verilator-4.038/test_regress/t/t_tri_inout.v:13: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_inout.v:18: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_vpi_get.v:28: testin ==> testing
data/verilator-4.038/test_regress/t/t_prot_lib_inout_bad.v:9: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_func.v:117: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_var_escape.out:8: ket ==> kept
data/verilator-4.038/test_regress/t/t_var_escape.out:15: ket ==> kept
data/verilator-4.038/test_regress/t/t_mem_slice_bad.v:41: assigment ==> assignment
data/verilator-4.038/test_regress/t/t_mem_slice_bad.v:45: assigment ==> assignment
data/verilator-4.038/test_regress/t/t_var_xref_gen.v:20: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_xref_gen.v:28: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_xref_gen.v:33: dout ==> doubt
data/verilator-4.038/test_regress/t/t_var_xref_gen.v:43: dout ==> doubt
data/verilator-4.038/test_regress/t/t_split_var_0.v:420: Missmatch ==> Mismatch
data/verilator-4.038/test_regress/t/t_split_var_0.v:425: Missmatch ==> Mismatch
data/verilator-4.038/test_regress/t/t_tri_pullup.v:19: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_pullup.v:23: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_inst_first.v:24: nd ==> and, 2nd
data/verilator-4.038/test_regress/t/t_inst_first.v:59: nd ==> and, 2nd
data/verilator-4.038/test_regress/t/t_inst_first.v:94: nd ==> and, 2nd
data/verilator-4.038/test_regress/t/t_inst_first.v:99: nd ==> and, 2nd
data/verilator-4.038/test_regress/t/t_var_escape.pl:24: ket ==> kept
data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.out:187: ba ==> by, be
data/verilator-4.038/test_regress/t/t_sys_file_basic_uz.out:1211: ba ==> by, be
data/verilator-4.038/test_regress/t/t_embed1_wrap.v:15: inouts ==> inputs
data/verilator-4.038/test_regress/t/t_assert_question.v:9: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:18: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:24: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:25: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:26: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:27: dout ==> doubt
data/verilator-4.038/test_regress/t/t_assert_question.v:28: dout ==> doubt
data/verilator-4.038/test_regress/t/t_preproc_comments.out:549: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_preproc_comments.out:565: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_preproc_comments.out:704: existance ==> existence
data/verilator-4.038/test_regress/t/t_enum_public.v:16: ALLONE ==> ALONE, ALL ONE
data/verilator-4.038/test_regress/t/t_sv_cpu.v:136: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_display_string.v:18: parm ==> param, pram, parma
data/verilator-4.038/test_regress/t/t_vpi_get.cpp:167: testin ==> testing
data/verilator-4.038/test_regress/t/t_flag_csplit.pl:14: Thi ==> The, this
data/verilator-4.038/test_regress/t/t_dist_portability.pl:62: blong ==> belong
data/verilator-4.038/test_regress/t/t_lint_width_bad.v:11: uglyness ==> ugliness
data/verilator-4.038/test_regress/t/t_case_onehot.v:89: synopsys ==> synopsis
data/verilator-4.038/test_regress/t/t_leak.cpp:83: construt ==> construct
data/verilator-4.038/test_regress/t/t_func_paramed.v:71: selv ==> self
data/verilator-4.038/test_regress/t/t_func_paramed.v:76: selv ==> self
data/verilator-4.038/test_regress/t/t_tri_array_pull.v:7: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_tri_array_pull.v:16: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_initial_edge.v:14: unitialized ==> uninitialized
data/verilator-4.038/test_regress/t/t_struct_param.v:7: checkd ==> checked
data/verilator-4.038/test_regress/t/t_split_var_0.pl:15: performace ==> performance
data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.out:2: blak ==> black, blank
data/verilator-4.038/test_regress/t/t_lint_bsspace_bad.out:6: blak ==> black, blank
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads_if.sv:52: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads_if.sv:69: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/ac_dig.sv:103: Regiser ==> Register
data/verilator-4.038/test_regress/t/t_sv_cpu_code/ac_dig.sv:107: concatination ==> concatenation
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_gpio.sv:24: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_gpio.sv:26: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads.sv:24: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads.sv:26: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pads.sv:62: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_sv_cpu_code/ports.sv:44: Interal ==> Internal, interval, integral
data/verilator-4.038/test_regress/t/t_sv_cpu_code/ports.sv:108: Regiser ==> Register
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_gnd.sv:16: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/pad_vdd.sv:16: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/chip.sv:10: evalution ==> evaluation, evolution
data/verilator-4.038/test_regress/t/t_sv_cpu_code/chip.sv:20: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/chip.sv:22: inout ==> input, in out
data/verilator-4.038/test_regress/t/t_sv_cpu_code/chip.sv:56: Inouts ==> Inputs
data/verilator-4.038/test_regress/t/t_sv_cpu_code/genbus_if.sv:123: Defautls ==> Defaults
data/verilator-4.038/bin/verilator:247: Synopsys ==> Synopsis
data/verilator-4.038/bin/verilator:3046: synopsys ==> synopsis
data/verilator-4.038/bin/verilator:3046: synopsys ==> synopsis
data/verilator-4.038/bin/verilator:3198: synopsys ==> synopsis
data/verilator-4.038/bin/verilator:3199: synopsys ==> synopsis
data/verilator-4.038/bin/verilator:3782: Inout ==> Input, in out
data/verilator-4.038/bin/verilator:3790: inout ==> input, in out
data/verilator-4.038/bin/verilator:3802: inout ==> input, in out
data/verilator-4.038/bin/verilator:3889: inout ==> input, in out
data/verilator-4.038/bin/verilator:4621: inout ==> input, in out
data/verilator-4.038/bin/verilator:4977: Synopsys ==> Synopsis
data/verilator-4.038/bin/verilator:5429: DECies ==> decides
data/verilator-4.038/src/V3Order.cpp:397: reenable ==> re-enable
data/verilator-4.038/src/V3Order.cpp:1388: vertexes ==> vertices
data/verilator-4.038/src/V3Order.cpp:1488: vertexes ==> vertices
data/verilator-4.038/src/V3Order.cpp:1632: vertexes ==> vertices
data/verilator-4.038/src/V3Order.cpp:1638: vertexes ==> vertices
data/verilator-4.038/src/V3Number.h:90: bitIs ==> bits
data/verilator-4.038/src/V3Number.h:409: ths ==> the, this
data/verilator-4.038/src/V3Number.h:411: ths ==> the, this
data/verilator-4.038/src/V3EmitCSyms.cpp:491: savable ==> saveable
data/verilator-4.038/src/V3EmitCSyms.cpp:584: savable ==> saveable
data/verilator-4.038/src/V3AstNodes.cpp:112: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.cpp:116: ths ==> the, this
data/verilator-4.038/src/V3EmitCInlines.cpp:45: savable ==> saveable
data/verilator-4.038/src/V3PreProc.cpp:446: synopsys ==> synopsis
data/verilator-4.038/src/V3PreProc.cpp:446: synopsys ==> synopsis
data/verilator-4.038/src/V3PreProc.cpp:447: synopsys ==> synopsis
data/verilator-4.038/src/V3PreProc.cpp:451: synopsys ==> synopsis
data/verilator-4.038/src/astgen:201: childs ==> children, child's
data/verilator-4.038/src/astgen:705: THS ==> THE, THIS
data/verilator-4.038/src/V3EmitV.cpp:182: synopsys ==> synopsis
data/verilator-4.038/src/V3Config.cpp:271: Atributes ==> Attributes
data/verilator-4.038/src/verilog.l:40: whereever ==> wherever
data/verilator-4.038/src/verilog.l:307: inout ==> input, in out
data/verilator-4.038/src/V3AstNodes.h:779: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:781: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:783: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:786: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:791: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:802: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:804: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:806: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:809: numer ==> number
data/verilator-4.038/src/V3AstNodes.h:1728: statments ==> statements
data/verilator-4.038/src/V3AstNodes.h:1760: statments ==> statements
data/verilator-4.038/src/V3AstNodes.h:1814: Inout ==> Input, in out
data/verilator-4.038/src/V3AstNodes.h:1949: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3AstNodes.h:2118: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3AstNodes.h:2839: inout ==> input, in out
data/verilator-4.038/src/V3AstNodes.h:7001: AddD ==> add
data/verilator-4.038/src/V3AstNodes.h:7375: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7400: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7425: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7450: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7811: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7812: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7817: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7818: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7889: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7890: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7895: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:7896: ths ==> the, this
data/verilator-4.038/src/V3AstNodes.h:8260: Otput ==> Output
data/verilator-4.038/src/V3Ast.h:534: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:545: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:549: inout ==> input, in out
data/verilator-4.038/src/V3Ast.h:553: inout ==> input, in out
data/verilator-4.038/src/V3Ast.h:558: inout ==> input, in out
data/verilator-4.038/src/V3Ast.h:558: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:559: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:561: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:564: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3Ast.h:1972: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:1976: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:1988: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:1992: THS ==> THE, THIS
data/verilator-4.038/src/V3Ast.h:1995: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2004: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2008: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2023: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2027: THS ==> THE, THIS
data/verilator-4.038/src/V3Ast.h:2028: THS ==> THE, THIS
data/verilator-4.038/src/V3Ast.h:2031: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2032: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2065: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2109: ths ==> the, this
data/verilator-4.038/src/V3Ast.h:2113: ths ==> the, this
data/verilator-4.038/src/V3Error.h:81: lable ==> label
data/verilator-4.038/src/V3Error.h:309: Theses ==> These, thesis
data/verilator-4.038/src/V3Const.cpp:226: usally ==> usually
data/verilator-4.038/src/V3Const.cpp:706: THS ==> THE, THIS
data/verilator-4.038/src/V3Const.cpp:707: THS ==> THE, THIS
data/verilator-4.038/src/V3Hashed.cpp:123: hashI ==> hash
data/verilator-4.038/src/V3Graph.h:125: vertexes ==> vertices
data/verilator-4.038/src/V3Case.cpp:136: synopsys ==> synopsis
data/verilator-4.038/src/V3Tristate.cpp:48: inout ==> input, in out
data/verilator-4.038/src/V3Tristate.cpp:222: vertexes ==> vertices
data/verilator-4.038/src/V3Tristate.cpp:482: inouts ==> inputs
data/verilator-4.038/src/V3Tristate.cpp:1045: inout ==> input, in out
data/verilator-4.038/src/V3Tristate.cpp:1049: inout ==> input, in out
data/verilator-4.038/src/V3Tristate.cpp:1053: inout ==> input, in out
data/verilator-4.038/src/V3Tristate.cpp:1057: inout ==> input, in out
data/verilator-4.038/src/V3SplitVar.cpp:17: perfomance ==> performance
data/verilator-4.038/src/V3SplitVar.cpp:151: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/V3SplitVar.cpp:151: inout ==> input, in out
data/verilator-4.038/src/V3SplitVar.cpp:1159: everytime ==> every time
data/verilator-4.038/src/V3Premit.cpp:3: premit ==> permit
data/verilator-4.038/src/V3Premit.cpp:22: Premit ==> Permit
data/verilator-4.038/src/V3Premit.cpp:84: Premit ==> Permit
data/verilator-4.038/src/V3Premit.cpp:418: Premit ==> Permit
data/verilator-4.038/src/V3Premit.cpp:423: premit ==> permit
data/verilator-4.038/src/V3Clean.cpp:128: cleanp ==> cleanup, clean up
data/verilator-4.038/src/V3Clean.cpp:131: cleanp ==> cleanup, clean up
data/verilator-4.038/src/V3Split.cpp:377: vertexes ==> vertices
data/verilator-4.038/src/V3Split.cpp:481: vertexes ==> vertices
data/verilator-4.038/src/V3Split.cpp:592: vertexes ==> vertices
data/verilator-4.038/src/V3LinkDot.cpp:1349: inout ==> input, in out
data/verilator-4.038/src/V3LinkDot.cpp:1351: inout ==> input, in out
data/verilator-4.038/src/V3LinkDot.cpp:1920: inout ==> input, in out
data/verilator-4.038/src/V3LinkDot.cpp:2008: Primay ==> Primary
data/verilator-4.038/src/V3LinkDot.cpp:2395: inout ==> input, in out
data/verilator-4.038/src/vlcovgen:51: Misformed ==> Malformed
data/verilator-4.038/src/V3Task.cpp:715: inout ==> input, in out
data/verilator-4.038/src/V3Task.cpp:776: inout ==> input, in out
data/verilator-4.038/src/V3Task.cpp:864: inout ==> input, in out
data/verilator-4.038/src/V3Task.cpp:934: inout ==> input, in out
data/verilator-4.038/src/V3Task.cpp:1524: ket ==> kept
data/verilator-4.038/src/V3Task.cpp:1540: ket ==> kept
data/verilator-4.038/src/V3Task.cpp:1547: ket ==> kept
data/verilator-4.038/src/V3ParseImp.cpp:327: Reenable ==> Re-enable
data/verilator-4.038/src/V3EmitC.cpp:2491: savable ==> saveable
data/verilator-4.038/src/V3EmitC.cpp:2492: Savable ==> Saveable
data/verilator-4.038/src/V3EmitC.cpp:3007: savable ==> saveable
data/verilator-4.038/src/V3EmitC.cpp:3010: savable ==> saveable
data/verilator-4.038/src/V3EmitC.cpp:3213: savable ==> saveable
data/verilator-4.038/src/V3EmitC.cpp:3227: savable ==> saveable
data/verilator-4.038/src/V3OrderGraph.h:127: therefrom ==> there from
data/verilator-4.038/src/Verilator.cpp:193: inouts ==> inputs
data/verilator-4.038/src/V3Width.cpp:359: THS ==> THE, THIS
data/verilator-4.038/src/V3Width.cpp:391: THS ==> THE, THIS
data/verilator-4.038/src/V3Width.cpp:432: THS ==> THE, THIS
data/verilator-4.038/src/V3Width.cpp:433: THS ==> THE, THIS
data/verilator-4.038/src/V3Width.cpp:1170: THS ==> THE, THIS
data/verilator-4.038/src/V3Width.cpp:3555: inout ==> input, in out
data/verilator-4.038/src/V3Width.cpp:3599: inout ==> input, in out
data/verilator-4.038/src/V3Width.cpp:4324: AddD ==> add
data/verilator-4.038/src/V3Width.cpp:4518: ADDD ==> ADD
data/verilator-4.038/src/V3Width.cpp:4518: ADDD ==> ADD
data/verilator-4.038/src/V3Gate.cpp:98: ND ==> AND, 2ND
data/verilator-4.038/src/V3Gate.cpp:1300: assing ==> assign
data/verilator-4.038/src/V3Trace.cpp:52: vertexes ==> vertices
data/verilator-4.038/src/V3Trace.cpp:572: Crate ==> Create
data/verilator-4.038/src/V3Trace.cpp:623: Crate ==> Create
data/verilator-4.038/src/V3Trace.cpp:780: vertexes ==> vertices
data/verilator-4.038/src/V3Trace.cpp:784: vertexes ==> vertices
data/verilator-4.038/src/V3Options.h:257: swithc ==> switch
data/verilator-4.038/src/V3Options.h:288: swith ==> switch
data/verilator-4.038/src/V3Options.h:423: savable ==> saveable
data/verilator-4.038/src/V3Partition.cpp:861: vertexes ==> vertices
data/verilator-4.038/src/V3Partition.cpp:2523: ser ==> set
data/verilator-4.038/src/V3Partition.cpp:2525: ser ==> set
data/verilator-4.038/src/V3LinkParse.cpp:573: ony ==> only
data/verilator-4.038/src/V3EmitCMake.cpp:155: savable ==> saveable
data/verilator-4.038/src/V3Number.cpp:125: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:132: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:145: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:146: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:146: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:152: widthn ==> width
data/verilator-4.038/src/V3Number.cpp:344: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:967: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:988: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:988: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:989: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:989: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:989: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:989: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1305: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1309: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1346: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1366: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1459: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1460: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1464: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1483: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1484: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1488: ths ==> the, this
data/verilator-4.038/src/V3Number.cpp:1564: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1564: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1584: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1584: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1598: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1598: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1613: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1613: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1694: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1708: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1725: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1740: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1752: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:1769: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:2118: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:2139: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:2202: bitIs ==> bits
data/verilator-4.038/src/V3Number.cpp:2223: bitIs ==> bits
data/verilator-4.038/src/V3GraphDfa.cpp:66: vertexes ==> vertices
data/verilator-4.038/src/V3GraphDfa.cpp:148: vertexes ==> vertices
data/verilator-4.038/src/V3GraphDfa.cpp:468: vertexes ==> vertices
data/verilator-4.038/src/V3GraphDfa.cpp:529: vertexes ==> vertices
data/verilator-4.038/src/V3Global.cpp:3: implemenetations ==> implementations
data/verilator-4.038/src/V3Class.cpp:98: interating ==> iterating, interacting
data/verilator-4.038/src/V3Class.cpp:106: interating ==> iterating, interacting
data/verilator-4.038/src/V3EmitMk.cpp:101: savable ==> saveable
data/verilator-4.038/src/Makefile_obj.in:34: wan't ==> want, wasn't
data/verilator-4.038/src/V3PreLex.l:55: whereever ==> wherever
data/verilator-4.038/src/V3Inst.cpp:507: calles ==> calls
data/verilator-4.038/src/V3Inst.cpp:560: Inout ==> Input, in out
data/verilator-4.038/src/verilog.y:3: grammer ==> grammar
data/verilator-4.038/src/verilog.y:452: folled ==> followed
data/verilator-4.038/src/verilog.y:553: inout ==> input, in out
data/verilator-4.038/src/verilog.y:904: repitition ==> repetition
data/verilator-4.038/src/verilog.y:1547: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/verilog.y:1640: implict ==> implicit
data/verilator-4.038/src/verilog.y:1696: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/verilog.y:1705: INOUT ==> INPUT, IN OUT
data/verilator-4.038/src/verilog.y:3202: precence ==> presence
data/verilator-4.038/src/verilog.y:3590: aruments ==> arguments
data/verilator-4.038/src/verilog.y:5092: Incorectly ==> Incorrectly
data/verilator-4.038/src/verilog.y:5346: grammer ==> grammar
data/verilator-4.038/src/verilog.y:5513: grammer ==> grammar
data/verilator-4.038/src/verilog.y:5808: relys ==> relies
data/verilator-4.038/src/verilog.y:5843: relys ==> relies
data/verilator-4.038/src/verilog.y:5918: identifer ==> identifier
data/verilator-4.038/examples/xml_py/vl_hier_graph:100: pass-thru ==> pass-through, pass through, passthrough
data/verilator-4.038/examples/xml_py/vl_file_copy:76: pass-thru ==> pass-through, pass through, passthrough
data/verilator-4.038/examples/make_tracing_c/Makefile:41: abount ==> about
data/verilator-4.038/examples/make_protect_lib/Makefile:37: abount ==> about
data/verilator-4.038/examples/make_tracing_sc/Makefile:41: abount ==> about
data/verilator-4.038/docs/internals.adoc:813: ba ==> by, be
data/verilator-4.038/docs/doxygen.config:856: adviced ==> advised
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:793: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:813: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:830: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:844: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:857: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:872: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:879: doub ==> doubt, daub
data/verilator-4.038/.pc/reproducible_build.diff/include/verilated_vcd_c.cpp:886: doub ==> doubt, daub
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:247: Synopsys ==> Synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3046: synopsys ==> synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3046: synopsys ==> synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3198: synopsys ==> synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3199: synopsys ==> synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3782: Inout ==> Input, in out
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3790: inout ==> input, in out
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3802: inout ==> input, in out
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:3889: inout ==> input, in out
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:4621: inout ==> input, in out
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:4977: Synopsys ==> Synopsis
data/verilator-4.038/.pc/interpreter.patch/bin/verilator:5429: DECies ==> decides
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:3: grammer ==> grammar
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:443: folled ==> followed
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:544: inout ==> input, in out
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:895: repitition ==> repetition
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:1538: INOUT ==> INPUT, IN OUT
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:1631: implict ==> implicit
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:1687: INOUT ==> INPUT, IN OUT
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:1696: INOUT ==> INPUT, IN OUT
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:3193: precence ==> presence
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:3581: aruments ==> arguments
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5083: Incorectly ==> Incorrectly
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5337: grammer ==> grammar
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5504: grammer ==> grammar
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5799: relys ==> relies
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5834: relys ==> relies
data/verilator-4.038/.pc/bison-3.7.patch/src/verilog.y:5909: identifer ==> identifier