===========================================================
                                      .___ __  __   
          _________________  __ __  __| _/|__|/  |_ 
         / ___\_` __ \__  \ |  |  \/ __ | | \\_  __\
        / /_/  >  | \// __ \|  |  / /_/ | |  ||  |  
        \___  /|__|  (____  /____/\____ | |__||__|  
       /_____/            \/           \/           
              grep rough audit - static analysis tool
                  v2.8 written by @Wireghoul
=================================[justanotherhacker.com]===
qflow-1.4.62+dfsg.1/config.sub-53-
qflow-1.4.62+dfsg.1/config.sub:54:me=`echo "$0" | sed -e 's,.*/,,'`
qflow-1.4.62+dfsg.1/config.sub-55-
##############################################
qflow-1.4.62+dfsg.1/config.sub-117-# Here we must recognize all the valid KERNEL-OS combinations.
qflow-1.4.62+dfsg.1/config.sub:118:maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'`
qflow-1.4.62+dfsg.1/config.sub-119-case $maybe_os in
##############################################
qflow-1.4.62+dfsg.1/config.sub-121-    os=-$maybe_os
qflow-1.4.62+dfsg.1/config.sub:122:    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`
qflow-1.4.62+dfsg.1/config.sub-123-    ;;
qflow-1.4.62+dfsg.1/config.sub-124-  *)
qflow-1.4.62+dfsg.1/config.sub:125:    basic_machine=`echo $1 | sed 's/-[^-]*$//'`
qflow-1.4.62+dfsg.1/config.sub-126-    if [ $basic_machine != $1 ]
qflow-1.4.62+dfsg.1/config.sub:127:    then os=`echo $1 | sed 's/.*-/-/'`
qflow-1.4.62+dfsg.1/config.sub-128-    else os=; fi
##############################################
qflow-1.4.62+dfsg.1/config.sub-172-		os=-sco3.2v5
qflow-1.4.62+dfsg.1/config.sub:173:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-174-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-176-		os=-sco3.2v4
qflow-1.4.62+dfsg.1/config.sub:177:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-178-		;;
qflow-1.4.62+dfsg.1/config.sub-179-	-sco3.2.[4-9]*)
qflow-1.4.62+dfsg.1/config.sub:180:		os=`echo $os | sed -e 's/sco3.2./sco3.2v/'`
qflow-1.4.62+dfsg.1/config.sub:181:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-182-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-184-		# Don't forget version if it is 3.2v4 or newer.
qflow-1.4.62+dfsg.1/config.sub:185:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-186-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-188-		os=-sco3.2v2
qflow-1.4.62+dfsg.1/config.sub:189:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-190-		;;
qflow-1.4.62+dfsg.1/config.sub-191-	-udk*)
qflow-1.4.62+dfsg.1/config.sub:192:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-193-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-195-		os=-isc2.2
qflow-1.4.62+dfsg.1/config.sub:196:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-197-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-201-	-isc*)
qflow-1.4.62+dfsg.1/config.sub:202:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-203-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-207-	-ptx*)
qflow-1.4.62+dfsg.1/config.sub:208:		basic_machine=`echo $1 | sed -e 's/86-.*/86-sequent/'`
qflow-1.4.62+dfsg.1/config.sub-209-		;;
qflow-1.4.62+dfsg.1/config.sub-210-	-windowsnt*)
qflow-1.4.62+dfsg.1/config.sub:211:		os=`echo $os | sed -e 's/windowsnt/winnt/'`
qflow-1.4.62+dfsg.1/config.sub-212-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-272-	*-*-*)
qflow-1.4.62+dfsg.1/config.sub:273:		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
qflow-1.4.62+dfsg.1/config.sub-274-		exit 1
##############################################
qflow-1.4.62+dfsg.1/config.sub-540-	i*86v32)
qflow-1.4.62+dfsg.1/config.sub:541:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-542-		os=-sysv32
##############################################
qflow-1.4.62+dfsg.1/config.sub-544-	i*86v4*)
qflow-1.4.62+dfsg.1/config.sub:545:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-546-		os=-sysv4
##############################################
qflow-1.4.62+dfsg.1/config.sub-548-	i*86v)
qflow-1.4.62+dfsg.1/config.sub:549:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-550-		os=-sysv
##############################################
qflow-1.4.62+dfsg.1/config.sub-552-	i*86sol2)
qflow-1.4.62+dfsg.1/config.sub:553:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
qflow-1.4.62+dfsg.1/config.sub-554-		os=-solaris2
##############################################
qflow-1.4.62+dfsg.1/config.sub-608-	mips3*-*)
qflow-1.4.62+dfsg.1/config.sub:609:		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`
qflow-1.4.62+dfsg.1/config.sub-610-		;;
qflow-1.4.62+dfsg.1/config.sub-611-	mips3*)
qflow-1.4.62+dfsg.1/config.sub:612:		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`-unknown
qflow-1.4.62+dfsg.1/config.sub-613-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-735-	pentium-* | p5-* | k5-* | k6-* | nexgen-*)
qflow-1.4.62+dfsg.1/config.sub:736:		basic_machine=i586-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-737-		;;
qflow-1.4.62+dfsg.1/config.sub-738-	pentiumpro-* | p6-* | 6x86-* | athlon-*)
qflow-1.4.62+dfsg.1/config.sub:739:		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-740-		;;
qflow-1.4.62+dfsg.1/config.sub-741-	pentiumii-* | pentium2-*)
qflow-1.4.62+dfsg.1/config.sub:742:		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-743-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-750-	        ;;
qflow-1.4.62+dfsg.1/config.sub:751:	ppc-*)	basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-752-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-756-	ppcle-* | powerpclittle-*)
qflow-1.4.62+dfsg.1/config.sub:757:		basic_machine=powerpcle-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-758-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-760-	        ;;
qflow-1.4.62+dfsg.1/config.sub:761:	ppc64-*) basic_machine=powerpc64-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-762-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-766-	ppc64le-* | powerpc64little-*)
qflow-1.4.62+dfsg.1/config.sub:767:		basic_machine=powerpc64le-`echo $basic_machine | sed 's/^[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub-768-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1008-	*)
qflow-1.4.62+dfsg.1/config.sub:1009:		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
qflow-1.4.62+dfsg.1/config.sub-1010-		exit 1
##############################################
qflow-1.4.62+dfsg.1/config.sub-1016-	*-digital*)
qflow-1.4.62+dfsg.1/config.sub:1017:		basic_machine=`echo $basic_machine | sed 's/digital.*/dec/'`
qflow-1.4.62+dfsg.1/config.sub-1018-		;;
qflow-1.4.62+dfsg.1/config.sub-1019-	*-commodore*)
qflow-1.4.62+dfsg.1/config.sub:1020:		basic_machine=`echo $basic_machine | sed 's/commodore.*/cbm/'`
qflow-1.4.62+dfsg.1/config.sub-1021-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1034-	-solaris1 | -solaris1.*)
qflow-1.4.62+dfsg.1/config.sub:1035:		os=`echo $os | sed -e 's|solaris1|sunos4|'`
qflow-1.4.62+dfsg.1/config.sub-1036-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1046-	-gnu/linux*)
qflow-1.4.62+dfsg.1/config.sub:1047:		os=`echo $os | sed -e 's|gnu/linux|linux-gnu|'`
qflow-1.4.62+dfsg.1/config.sub-1048-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1089-	-mac*)
qflow-1.4.62+dfsg.1/config.sub:1090:		os=`echo $os | sed -e 's|mac|macos|'`
qflow-1.4.62+dfsg.1/config.sub-1091-		;;
qflow-1.4.62+dfsg.1/config.sub-1092-	-linux*)
qflow-1.4.62+dfsg.1/config.sub:1093:		os=`echo $os | sed -e 's|linux|linux-gnu|'`
qflow-1.4.62+dfsg.1/config.sub-1094-		;;
qflow-1.4.62+dfsg.1/config.sub-1095-	-sunos5*)
qflow-1.4.62+dfsg.1/config.sub:1096:		os=`echo $os | sed -e 's|sunos5|solaris2|'`
qflow-1.4.62+dfsg.1/config.sub-1097-		;;
qflow-1.4.62+dfsg.1/config.sub-1098-	-sunos6*)
qflow-1.4.62+dfsg.1/config.sub:1099:		os=`echo $os | sed -e 's|sunos6|solaris3|'`
qflow-1.4.62+dfsg.1/config.sub-1100-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1135-	-sinix5.*)
qflow-1.4.62+dfsg.1/config.sub:1136:		os=`echo $os | sed -e 's|sinix|sysv|'`
qflow-1.4.62+dfsg.1/config.sub-1137-		;;
##############################################
qflow-1.4.62+dfsg.1/config.sub-1174-		# Get rid of the `-' at the beginning of $os.
qflow-1.4.62+dfsg.1/config.sub:1175:		os=`echo $os | sed 's/[^-]*-//'`
qflow-1.4.62+dfsg.1/config.sub:1176:		echo Invalid configuration \`$1\': system \`$os\' not recognized 1>&2
qflow-1.4.62+dfsg.1/config.sub-1177-		exit 1
##############################################
qflow-1.4.62+dfsg.1/config.sub-1397-		esac
qflow-1.4.62+dfsg.1/config.sub:1398:		basic_machine=`echo $basic_machine | sed "s/unknown/$vendor/"`
qflow-1.4.62+dfsg.1/config.sub-1399-		;;
##############################################
qflow-1.4.62+dfsg.1/install-sh-85-
qflow-1.4.62+dfsg.1/install-sh:86:	-t=*) transformarg=`echo $1 | sed 's/-t=//'`
qflow-1.4.62+dfsg.1/install-sh-87-	    shift
##############################################
qflow-1.4.62+dfsg.1/install-sh-89-
qflow-1.4.62+dfsg.1/install-sh:90:	-b=*) transformbasename=`echo $1 | sed 's/-b=//'`
qflow-1.4.62+dfsg.1/install-sh-91-	    shift
##############################################
qflow-1.4.62+dfsg.1/install-sh-151-	then
qflow-1.4.62+dfsg.1/install-sh:152:		dst="$dst"/`basename $src`
qflow-1.4.62+dfsg.1/install-sh-153-	else
##############################################
qflow-1.4.62+dfsg.1/install-sh-158-## this sed command emulates the dirname command
qflow-1.4.62+dfsg.1/install-sh:159:dstdir=`echo $dst | sed -e 's,[^/]*$,,;s,/$,,;s,^$,.,'`
qflow-1.4.62+dfsg.1/install-sh-160-
##############################################
qflow-1.4.62+dfsg.1/install-sh-172-IFS='%'
qflow-1.4.62+dfsg.1/install-sh:173:set - `echo ${dstdir} | sed -e 's@/@%@g' -e 's@^%@/@'`
qflow-1.4.62+dfsg.1/install-sh-174-IFS="${oIFS}"
##############################################
qflow-1.4.62+dfsg.1/install-sh-206-	then
qflow-1.4.62+dfsg.1/install-sh:207:		dstfile=`basename $dst`
qflow-1.4.62+dfsg.1/install-sh-208-	else
##############################################
qflow-1.4.62+dfsg.1/install-sh-216-	then
qflow-1.4.62+dfsg.1/install-sh:217:		dstfile=`basename $dst`
qflow-1.4.62+dfsg.1/install-sh-218-	else
##############################################
qflow-1.4.62+dfsg.1/scripts/checkdirs.sh.in-76-
qflow-1.4.62+dfsg.1/scripts/checkdirs.sh.in:77:set projectpath="`echo $projectpath | sed -e 's,^${HOME},~,'`"
qflow-1.4.62+dfsg.1/scripts/checkdirs.sh.in-78-
##############################################
qflow-1.4.62+dfsg.1/scripts/cleanup.sh-13-# Split out options from the main arguments (no options---this is a placeholder)
qflow-1.4.62+dfsg.1/scripts/cleanup.sh:14:set argline=(`getopt "p" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/cleanup.sh:15:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/cleanup.sh:16:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/cleanup.sh-17-
qflow-1.4.62+dfsg.1/scripts/cleanup.sh-18-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/cleanup.sh:19:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/cleanup.sh:20:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/cleanup.sh-21-else
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-17-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:18:set argline=(`getopt "kdf" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:19:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:20:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-21-
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-22-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:23:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:24:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-25-else
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-90-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:91:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-92-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-100-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:101:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-102-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-110-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:111:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-112-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-123-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:124:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-125-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-136-# Prepend techdir to each libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:137:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-138-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-176-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:177:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-178-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-198-
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:199:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:200:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:201:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:202:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:203:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-204-
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-235-# Pull scale units from the .info file.  Default units are centimicrons.
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:236:set units=`cat ${rootname}.info | grep units | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-237-if ( "${units}" == "" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-257-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:258:	foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-259-	    if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-426-   echo "Preparing pin placement hints from ${rootname}.cel2" |& tee -a ${synthlog}
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:427:   if ( `grep -c padgroup ${rootname}.cel` == "0" ) then
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-428-      cat ${rootname}.cel2 >> ${rootname}.cel
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-674-   if ( !( ${?route_layers} )) then
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:675:      set route_layers = `cat ${rootname}.info | grep -e horizontal -e vertical | wc -l`
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-676-   endif
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-696-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:697:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-698-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-729-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh:730:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/graywolf.sh-731-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:14:set argline=(`getopt "x" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:15:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:16:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:17:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-18-
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-19-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:20:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:21:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-22-else
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-101-else
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:102:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-103-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-114-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:115:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-116-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-124-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:125:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-126-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-141-
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:142:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:143:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:144:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:145:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-146-
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-176-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/magic_db.sh:177:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/magic_db.sh-178-	 if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-15-
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-19-
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-81-else
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:82:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-83-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-100-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:101:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-102-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-112-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:113:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-114-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-131-
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:132:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:133:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:134:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:135:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-136-
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-202-
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh:203:set errors=`tail -10 ${synthlog} | grep "drc =" | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/scripts/magic_drc.sh-204-if ( $errors > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-15-
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-19-
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-75-else
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:76:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-77-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-96-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:97:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-98-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-115-
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:116:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:117:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:118:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh:119:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/magic_gds.sh-120-
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-18-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:19:set argline=(`getopt "gldm" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:20:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:21:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-22-
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-23-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:24:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:25:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-26-else
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-81-# Prepend techdir to magicrc unless magicrc begins with "/"
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:82:set abspath=`echo ${magicrc} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-83-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-91-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:92:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-93-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-103-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:104:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-105-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-113-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:114:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-115-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-166-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/magic_view.sh:167:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/magic_view.sh-168-            if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-15-
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-19-
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-71-else
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:72:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-73-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-187-
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh:188:set err_total = `tail -1 ${synthlog} | cut -d' ' -f4`
qflow-1.4.62+dfsg.1/scripts/netgen_lvs.sh-189-if ( ${err_total} > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opensta.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/opensta.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/opensta.sh-15-
qflow-1.4.62+dfsg.1/scripts/opensta.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/opensta.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/opensta.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/opensta.sh-19-
qflow-1.4.62+dfsg.1/scripts/opensta.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/opensta.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/opensta.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/opensta.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/opensta.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/opensta.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/opensta.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opensta.sh-116-
qflow-1.4.62+dfsg.1/scripts/opensta.sh:117:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/opensta.sh-118-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opensta.sh-148-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/opensta.sh:149:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/opensta.sh-150-         if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opensta.sh-162-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/opensta.sh:163:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/opensta.sh-164-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-199-      default:
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:200:	 if (`echo $argv[1] | cut -c1` == "-") then
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-201-	    echo "Unknown option $argv[1]"
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-297-# Use find, not ls, to avoid error output when no match is found.
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:298:set nvsrc = `find ${sourcedir} -name \*.v -print | grep -v _mapped.v | wc -l`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:299:set nsvsrc = `find ${sourcedir} -name \*.sv -print | wc -l`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-300-
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-307-   if ($nvsrc == 1) then
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:308:      set vsource=`ls ${sourcedir}/*.v | grep -v _mapped.v`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-309-      set modulename=${vsource:r}
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-310-   else if ($nsvsrc == 1) then
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:311:      set vsource=`ls ${sourcedir}/*.sv`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-312-      set modulename=${vsource:r}
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-334-      # Check all .v and .sv files for one with the specified module.
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:335:      foreach file ( `ls ${sourcedir}/*.v ${sourcedir}/*.sv | grep -v _mapped.v` )
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:336:         set mline = `cat $file | grep module | grep ${modulename} | wc -l`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-337-         if ( $mline > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-351-if ( ${vsource} != "" ) then
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:352:   set vsource="`echo $vsource | sed -e 's,^${HOME},~,'`"
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-353-endif
##############################################
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-383-if ( -f $varfile ) then
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in:384:   set techorig=`cat $varfile | grep techname= | cut -d= -f2`
qflow-1.4.62+dfsg.1/scripts/qflow.sh.in-385-   if ( "${tech}" != "${techorig}" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-14-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:15:set argline=(`getopt "nr" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-16-
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:17:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:18:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:19:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-20-
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-21-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:22:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:23:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-24-   if ($argc == 3) then
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:25:      set statusin = `echo $cmdargs | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-26-      if ($statusin == 2) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-67-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:68:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-69-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-77-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:78:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-79-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-87-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:88:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-89-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-100-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:101:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-102-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-125-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:126:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-127-            if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-171-else
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:172:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-173-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-189-
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:190:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:191:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:192:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:193:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh:194:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/scripts/qrouter.sh-195-
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-14-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/replace.sh:15:set argline=(`getopt "kdf" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/replace.sh:16:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/replace.sh:17:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/replace.sh-18-
qflow-1.4.62+dfsg.1/scripts/replace.sh-19-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/replace.sh:20:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/replace.sh:21:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/replace.sh-22-else
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-87-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/replace.sh:88:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/replace.sh-89-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-97-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/scripts/replace.sh:98:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/replace.sh-99-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-107-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/scripts/replace.sh:108:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/replace.sh-109-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-120-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/replace.sh:121:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/replace.sh-122-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-165-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/replace.sh:166:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/replace.sh-167-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-187-
qflow-1.4.62+dfsg.1/scripts/replace.sh:188:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/scripts/replace.sh:189:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/replace.sh:190:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/replace.sh:191:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/replace.sh:192:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/scripts/replace.sh-193-
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-224-# Pull scale units from the .info file.  Default units are centimicrons.
qflow-1.4.62+dfsg.1/scripts/replace.sh:225:set units=`cat ${rootname}.info | grep units | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/scripts/replace.sh-226-if ( "${units}" == "" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-249-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/replace.sh:250:	foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/replace.sh-251-	    if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-384-
qflow-1.4.62+dfsg.1/scripts/replace.sh:385:set outfile=`ls outputs/ispd/${rootname}_preplace/experiment*/${rootname}_preplace_final.def --sort=time | head -1`
qflow-1.4.62+dfsg.1/scripts/replace.sh-386-
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-479-   if ( !( ${?route_layers} )) then
qflow-1.4.62+dfsg.1/scripts/replace.sh:480:      set route_layers = `cat ${rootname}.info | grep -e horizontal -e vertical | wc -l`
qflow-1.4.62+dfsg.1/scripts/replace.sh-481-   endif
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-501-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/replace.sh:502:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/replace.sh-503-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/replace.sh-534-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/replace.sh:535:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/replace.sh-536-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/vesta.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/vesta.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/vesta.sh-15-
qflow-1.4.62+dfsg.1/scripts/vesta.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/vesta.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/vesta.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/vesta.sh-19-
qflow-1.4.62+dfsg.1/scripts/vesta.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/vesta.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/vesta.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/vesta.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/vesta.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/vesta.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/vesta.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/vesta.sh-112-# Prepend techdir to libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/scripts/vesta.sh:113:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/vesta.sh-114-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/vesta.sh-125-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/vesta.sh:126:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/vesta.sh-127-	 if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/vesta.sh-140-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/vesta.sh:141:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/vesta.sh-142-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-88-# Prepend techdir to libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/scripts/yosys.sh:89:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-90-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-98-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/scripts/yosys.sh:99:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-100-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-111-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:112:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-113-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-127-foreach f (${leffile})
qflow-1.4.62+dfsg.1/scripts/yosys.sh:128:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-129-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-138-# Determine version of yosys
qflow-1.4.62+dfsg.1/scripts/yosys.sh:139:set versionstring = `${bindir}/yosys -V | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:140:#set major = `echo $versionstring | cut -d. -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-141-set major = 0
qflow-1.4.62+dfsg.1/scripts/yosys.sh:142:set minor = `echo $versionstring | cut -d. -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-143-
qflow-1.4.62+dfsg.1/scripts/yosys.sh-144-# Sigh. . .  versioning doesn't follow any fixed standard
qflow-1.4.62+dfsg.1/scripts/yosys.sh:145:set minortest = `echo $minor | cut -d+ -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:146:set minor = `echo $minor | cut -d+ -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-147-if ( ${minortest} == "" ) then
qflow-1.4.62+dfsg.1/scripts/yosys.sh-148-
qflow-1.4.62+dfsg.1/scripts/yosys.sh:149:   set revisionstring = `echo $versionstring | cut -d. -f3`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-150-   if ( ${revisionstring} == "" ) set revisionstring = 0
qflow-1.4.62+dfsg.1/scripts/yosys.sh:151:   set revision = `echo $revisionstring | cut -d+ -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:152:   set subrevision = `echo $revisionstring | cut -d+ -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-153-   if ( ${subrevision} == "" ) set subrevision = 0
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-159-endif
qflow-1.4.62+dfsg.1/scripts/yosys.sh:160:set minor = `echo ${minor} | sed 's/+//'`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-161-
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-166-endif
qflow-1.4.62+dfsg.1/scripts/yosys.sh:167:set usescript = `echo ${yosys_options} | grep -- -s | wc -l`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-168-
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-219-      foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:220:         foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-221-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-230-
qflow-1.4.62+dfsg.1/scripts/yosys.sh:231:   set lines=`cat $source_file_list`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-232-   set i=1
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-247-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:248:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-249-
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-334-       foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:335:           foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-336-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-381-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:382:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-383-
qflow-1.4.62+dfsg.1/scripts/yosys.sh-384-   if ($yerrcnt > 1) then
qflow-1.4.62+dfsg.1/scripts/yosys.sh:385:      set yvalid = `echo $yerrors | grep "referenced in module" | wc -c`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-386-      # Check error message specific to a missing source file.
qflow-1.4.62+dfsg.1/scripts/yosys.sh:387:      set ymissing = `echo $yerrors | grep "is not part of" | wc -c`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-388-      if (($ymissing > 1) && ($yvalid > 1)) then
qflow-1.4.62+dfsg.1/scripts/yosys.sh:389:         set newdep = `echo $yerrors | cut -d " " -f 3 | cut -c3- | cut -d "'" -f 1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-390-         set uniquedeplist = "${uniquedeplist} ${newdep}"
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-431-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:432:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-433-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-650-echo "getpowerground.tcl ${lefpath}" |& tee -a ${synthlog}
qflow-1.4.62+dfsg.1/scripts/yosys.sh:651:set powerground = `${scriptdir}/getpowerground.tcl ${lefpath} | grep =`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:652:set testnet = `echo $powerground | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:653:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:654:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-655-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-657-endif
qflow-1.4.62+dfsg.1/scripts/yosys.sh:658:set testnet = `echo $powerground | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:659:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/scripts/yosys.sh:660:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/scripts/yosys.sh-661-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-754-	 foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:755:	    foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-756-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/yosys.sh-945-	  foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/yosys.sh:946:	     foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/yosys.sh-947-	        if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-15-
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-19-
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-116-
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:117:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-118-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-148-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:149:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-150-         if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-162-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/scripts/opentimer.sh:163:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/scripts/opentimer.sh-164-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/config.guess-34-
qflow-1.4.62+dfsg.1/config.guess:35:me=`echo "$0" | sed -e 's,.*/,,'`
qflow-1.4.62+dfsg.1/config.guess-36-
##############################################
qflow-1.4.62+dfsg.1/config.guess-102-: ${TMPDIR=/tmp} ;
qflow-1.4.62+dfsg.1/config.guess:103: { tmp=`(umask 077 && mktemp -d "$TMPDIR/cgXXXXXX") 2>/dev/null` && test -n "$tmp" && test -d "$tmp" ; } ||
qflow-1.4.62+dfsg.1/config.guess-104- { test -n "$RANDOM" && tmp=$TMPDIR/cg$$-$RANDOM && (umask 077 && mkdir $tmp) ; } ||
##############################################
qflow-1.4.62+dfsg.1/config.guess-151-	EOF
qflow-1.4.62+dfsg.1/config.guess:152:	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC' | sed 's, ,,g'`
qflow-1.4.62+dfsg.1/config.guess-153-	;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-209-	    *)
qflow-1.4.62+dfsg.1/config.guess:210:		release=`echo ${UNAME_RELEASE}|sed -e 's/[-_].*/\./'`
qflow-1.4.62+dfsg.1/config.guess-211-		;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-240-	*4.0)
qflow-1.4.62+dfsg.1/config.guess:241:		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'`
qflow-1.4.62+dfsg.1/config.guess-242-		;;
qflow-1.4.62+dfsg.1/config.guess-243-	*5.*)
qflow-1.4.62+dfsg.1/config.guess:244:		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
qflow-1.4.62+dfsg.1/config.guess-245-		;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-250-	# types through head -n 1, so we only detect the type of CPU 0.
qflow-1.4.62+dfsg.1/config.guess:251:	ALPHA_CPU_TYPE=`/usr/sbin/psrinfo -v | sed -n -e 's/^  The alpha \(.*\) processor.*$/\1/p' | head -n 1`
qflow-1.4.62+dfsg.1/config.guess-252-	case "$ALPHA_CPU_TYPE" in
##############################################
qflow-1.4.62+dfsg.1/config.guess-288-	# 1.2 uses "1.2" for uname -r.
qflow-1.4.62+dfsg.1/config.guess:289:	echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
qflow-1.4.62+dfsg.1/config.guess-290-	# Reset EXIT trap before exiting to avoid spurious non-zero exit code.
##############################################
qflow-1.4.62+dfsg.1/config.guess-348-    s390x:SunOS:*:*)
qflow-1.4.62+dfsg.1/config.guess:349:	echo ${UNAME_MACHINE}-ibm-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-350-	exit ;;
qflow-1.4.62+dfsg.1/config.guess-351-    sun4H:SunOS:5.*:*)
qflow-1.4.62+dfsg.1/config.guess:352:	echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-353-	exit ;;
qflow-1.4.62+dfsg.1/config.guess-354-    sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*)
qflow-1.4.62+dfsg.1/config.guess:355:	echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-356-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-373-	fi
qflow-1.4.62+dfsg.1/config.guess:374:	echo ${SUN_ARCH}-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-375-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-379-	# it's likely to be more like Solaris than SunOS4.
qflow-1.4.62+dfsg.1/config.guess:380:	echo sparc-sun-solaris3`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-381-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-388-	# Japanese Language versions have a version number like `4.1.3-JL'.
qflow-1.4.62+dfsg.1/config.guess:389:	echo sparc-sun-sunos`echo ${UNAME_RELEASE}|sed -e 's/-/_/'`
qflow-1.4.62+dfsg.1/config.guess-390-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-394-    sun*:*:4.2BSD:*)
qflow-1.4.62+dfsg.1/config.guess:395:	UNAME_RELEASE=`(sed 1q /etc/motd | awk '{print substr($5,1,3)}') 2>/dev/null`
qflow-1.4.62+dfsg.1/config.guess-396-	test "x${UNAME_RELEASE}" = "x" && UNAME_RELEASE=3
##############################################
qflow-1.4.62+dfsg.1/config.guess-476-	$CC_FOR_BUILD -o $dummy $dummy.c &&
qflow-1.4.62+dfsg.1/config.guess:477:	  dummyarg=`echo "${UNAME_RELEASE}" | sed -n 's/\([0-9]*\).*/\1/p'` &&
qflow-1.4.62+dfsg.1/config.guess:478:	  SYSTEM_NAME=`$dummy $dummyarg` &&
qflow-1.4.62+dfsg.1/config.guess-479-	    { echo "$SYSTEM_NAME"; exit; }
##############################################
qflow-1.4.62+dfsg.1/config.guess-532-    *:IRIX*:*:*)
qflow-1.4.62+dfsg.1/config.guess:533:	echo mips-sgi-irix`echo ${UNAME_RELEASE}|sed -e 's/-/_/g'`
qflow-1.4.62+dfsg.1/config.guess-534-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-562-EOF
qflow-1.4.62+dfsg.1/config.guess:563:		if $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy`
qflow-1.4.62+dfsg.1/config.guess-564-		then
##############################################
qflow-1.4.62+dfsg.1/config.guess-575-    *:AIX:*:[4567])
qflow-1.4.62+dfsg.1/config.guess:576:	IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'`
qflow-1.4.62+dfsg.1/config.guess-577-	if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then
##############################################
qflow-1.4.62+dfsg.1/config.guess-610-    9000/[34678]??:HP-UX:*:*)
qflow-1.4.62+dfsg.1/config.guess:611:	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
qflow-1.4.62+dfsg.1/config.guess-612-	case "${UNAME_MACHINE}" in
##############################################
qflow-1.4.62+dfsg.1/config.guess-664-EOF
qflow-1.4.62+dfsg.1/config.guess:665:		    (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy`
qflow-1.4.62+dfsg.1/config.guess-666-		    test -z "$HP_ARCH" && HP_ARCH=hppa
##############################################
qflow-1.4.62+dfsg.1/config.guess-692-    ia64:HP-UX:*:*)
qflow-1.4.62+dfsg.1/config.guess:693:	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
qflow-1.4.62+dfsg.1/config.guess-694-	echo ia64-hp-hpux${HPUX_REV}
##############################################
qflow-1.4.62+dfsg.1/config.guess-722-EOF
qflow-1.4.62+dfsg.1/config.guess:723:	$CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` &&
qflow-1.4.62+dfsg.1/config.guess-724-		{ echo "$SYSTEM_NAME"; exit; }
##############################################
qflow-1.4.62+dfsg.1/config.guess-793-	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
qflow-1.4.62+dfsg.1/config.guess:794:	FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
qflow-1.4.62+dfsg.1/config.guess-795-	echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
##############################################
qflow-1.4.62+dfsg.1/config.guess-798-	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
qflow-1.4.62+dfsg.1/config.guess:799:	FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
qflow-1.4.62+dfsg.1/config.guess-800-	echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
##############################################
qflow-1.4.62+dfsg.1/config.guess-814-	    amd64)
qflow-1.4.62+dfsg.1/config.guess:815:		echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
qflow-1.4.62+dfsg.1/config.guess-816-	    *)
qflow-1.4.62+dfsg.1/config.guess:817:		echo ${UNAME_PROCESSOR}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
qflow-1.4.62+dfsg.1/config.guess-818-	esac
##############################################
qflow-1.4.62+dfsg.1/config.guess-872-    prep*:SunOS:5.*:*)
qflow-1.4.62+dfsg.1/config.guess:873:	echo powerpcle-unknown-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
qflow-1.4.62+dfsg.1/config.guess-874-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-876-	# the GNU system
qflow-1.4.62+dfsg.1/config.guess:877:	echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-${LIBC}`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'`
qflow-1.4.62+dfsg.1/config.guess-878-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-880-	# other systems with GNU libc and userland
qflow-1.4.62+dfsg.1/config.guess:881:	echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-${LIBC}
qflow-1.4.62+dfsg.1/config.guess-882-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-968-EOF
qflow-1.4.62+dfsg.1/config.guess:969:	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'`
qflow-1.4.62+dfsg.1/config.guess-970-	test x"${CPU}" != x && { echo "${CPU}-unknown-linux-${LIBC}"; exit; }
##############################################
qflow-1.4.62+dfsg.1/config.guess-1062-    i*86:*:4.*:* | i*86:SYSTEM_V:4.*:*)
qflow-1.4.62+dfsg.1/config.guess:1063:	UNAME_REL=`echo ${UNAME_RELEASE} | sed 's/\/MP$//'`
qflow-1.4.62+dfsg.1/config.guess-1064-	if grep Novell /usr/include/link.h >/dev/null 2>/dev/null; then
##############################################
qflow-1.4.62+dfsg.1/config.guess-1262-	fi
qflow-1.4.62+dfsg.1/config.guess:1263:	if test `echo "$UNAME_RELEASE" | sed -e 's/\..*//'` -le 10 ; then
qflow-1.4.62+dfsg.1/config.guess-1264-	    if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
##############################################
qflow-1.4.62+dfsg.1/config.guess-1347-    *:DragonFly:*:*)
qflow-1.4.62+dfsg.1/config.guess:1348:	echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`
qflow-1.4.62+dfsg.1/config.guess-1349-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/config.guess-1360-    i*86:skyos:*:*)
qflow-1.4.62+dfsg.1/config.guess:1361:	echo ${UNAME_MACHINE}-pc-skyos`echo ${UNAME_RELEASE}` | sed -e 's/ .*$//'
qflow-1.4.62+dfsg.1/config.guess-1362-	exit ;;
##############################################
qflow-1.4.62+dfsg.1/configure-43-if test -z "$BASH_VERSION$ZSH_VERSION" \
qflow-1.4.62+dfsg.1/configure:44:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
qflow-1.4.62+dfsg.1/configure-45-  as_echo='print -r --'
qflow-1.4.62+dfsg.1/configure-46-  as_echo_n='print -rn --'
qflow-1.4.62+dfsg.1/configure:47:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
qflow-1.4.62+dfsg.1/configure-48-  as_echo='printf %s\n'
##############################################
qflow-1.4.62+dfsg.1/configure-50-else
qflow-1.4.62+dfsg.1/configure:51:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
qflow-1.4.62+dfsg.1/configure-52-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
qflow-1.4.62+dfsg.1/configure-60-	expr "X$arg" : "X\\(.*\\)$as_nl";
qflow-1.4.62+dfsg.1/configure:61:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
qflow-1.4.62+dfsg.1/configure-62-      esac;
##############################################
qflow-1.4.62+dfsg.1/configure-197-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
qflow-1.4.62+dfsg.1/configure:198:  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
qflow-1.4.62+dfsg.1/configure-199-test \$(( 1 + 1 )) = 2 || exit 1"
##############################################
qflow-1.4.62+dfsg.1/configure-324-      case $as_dir in #(
qflow-1.4.62+dfsg.1/configure:325:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
qflow-1.4.62+dfsg.1/configure-326-      *) as_qdir=$as_dir;;
##############################################
qflow-1.4.62+dfsg.1/configure-397-  {
qflow-1.4.62+dfsg.1/configure:398:    as_val=`expr "$@" || test $? -eq 1`
qflow-1.4.62+dfsg.1/configure-399-  }
##############################################
qflow-1.4.62+dfsg.1/configure-404-# ----------------------------------------
qflow-1.4.62+dfsg.1/configure:405:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
qflow-1.4.62+dfsg.1/configure-406-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
qflow-1.4.62+dfsg.1/configure-467-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
qflow-1.4.62+dfsg.1/configure:468:  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
qflow-1.4.62+dfsg.1/configure-469-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
##############################################
qflow-1.4.62+dfsg.1/configure-764-  case $ac_option in
qflow-1.4.62+dfsg.1/configure:765:  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
qflow-1.4.62+dfsg.1/configure-766-  *=)   ac_optarg= ;;
##############################################
qflow-1.4.62+dfsg.1/configure-808-  -disable-* | --disable-*)
qflow-1.4.62+dfsg.1/configure:809:    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
qflow-1.4.62+dfsg.1/configure-810-    # Reject names that are not valid shell variable names.
##############################################
qflow-1.4.62+dfsg.1/configure-813-    ac_useropt_orig=$ac_useropt
qflow-1.4.62+dfsg.1/configure:814:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
qflow-1.4.62+dfsg.1/configure-815-    case $ac_user_opts in
##############################################
qflow-1.4.62+dfsg.1/configure-834-  -enable-* | --enable-*)
qflow-1.4.62+dfsg.1/configure:835:    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
qflow-1.4.62+dfsg.1/configure-836-    # Reject names that are not valid shell variable names.
##############################################
qflow-1.4.62+dfsg.1/configure-839-    ac_useropt_orig=$ac_useropt
qflow-1.4.62+dfsg.1/configure:840:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
qflow-1.4.62+dfsg.1/configure-841-    case $ac_user_opts in
##############################################
qflow-1.4.62+dfsg.1/configure-1038-  -with-* | --with-*)
qflow-1.4.62+dfsg.1/configure:1039:    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
qflow-1.4.62+dfsg.1/configure-1040-    # Reject names that are not valid shell variable names.
##############################################
qflow-1.4.62+dfsg.1/configure-1043-    ac_useropt_orig=$ac_useropt
qflow-1.4.62+dfsg.1/configure:1044:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
qflow-1.4.62+dfsg.1/configure-1045-    case $ac_user_opts in
##############################################
qflow-1.4.62+dfsg.1/configure-1054-  -without-* | --without-*)
qflow-1.4.62+dfsg.1/configure:1055:    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
qflow-1.4.62+dfsg.1/configure-1056-    # Reject names that are not valid shell variable names.
##############################################
qflow-1.4.62+dfsg.1/configure-1059-    ac_useropt_orig=$ac_useropt
qflow-1.4.62+dfsg.1/configure:1060:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
qflow-1.4.62+dfsg.1/configure-1061-    case $ac_user_opts in
##############################################
qflow-1.4.62+dfsg.1/configure-1092-  *=*)
qflow-1.4.62+dfsg.1/configure:1093:    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
qflow-1.4.62+dfsg.1/configure-1094-    # Reject names that are not valid shell variable names.
##############################################
qflow-1.4.62+dfsg.1/configure-1113-if test -n "$ac_prev"; then
qflow-1.4.62+dfsg.1/configure:1114:  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
qflow-1.4.62+dfsg.1/configure-1115-  as_fn_error $? "missing argument to $ac_option"
##############################################
qflow-1.4.62+dfsg.1/configure-1135-    */ )
qflow-1.4.62+dfsg.1/configure:1136:      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
qflow-1.4.62+dfsg.1/configure-1137-      eval $ac_var=\$ac_val;;
##############################################
qflow-1.4.62+dfsg.1/configure-1170-ac_ls_di=`ls -di .` &&
qflow-1.4.62+dfsg.1/configure:1171:ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
qflow-1.4.62+dfsg.1/configure-1172-  as_fn_error $? "working directory cannot be determined"
##############################################
qflow-1.4.62+dfsg.1/configure-1226-case $srcdir in
qflow-1.4.62+dfsg.1/configure:1227:*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
qflow-1.4.62+dfsg.1/configure-1228-esac
##############################################
qflow-1.4.62+dfsg.1/configure-1269-By default, \`make install' will install all the files in
qflow-1.4.62+dfsg.1/configure:1270:\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
qflow-1.4.62+dfsg.1/configure:1271:an installation prefix other than \`$ac_default_prefix' using \`--prefix',
qflow-1.4.62+dfsg.1/configure-1272-for instance \`--prefix=\$HOME'.
##############################################
qflow-1.4.62+dfsg.1/configure-1358-*)
qflow-1.4.62+dfsg.1/configure:1359:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
qflow-1.4.62+dfsg.1/configure-1360-  # A ".." for each directory in $ac_dir_suffix.
qflow-1.4.62+dfsg.1/configure:1361:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
qflow-1.4.62+dfsg.1/configure-1362-  case $ac_top_builddir_sub in
##############################################
qflow-1.4.62+dfsg.1/configure-1724-    *\'*)
qflow-1.4.62+dfsg.1/configure:1725:      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
qflow-1.4.62+dfsg.1/configure-1726-    esac
##############################################
qflow-1.4.62+dfsg.1/configure-1807-      case $ac_val in
qflow-1.4.62+dfsg.1/configure:1808:      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
qflow-1.4.62+dfsg.1/configure-1809-      esac
##############################################
qflow-1.4.62+dfsg.1/configure-1822-	case $ac_val in
qflow-1.4.62+dfsg.1/configure:1823:	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
qflow-1.4.62+dfsg.1/configure-1824-	esac
##############################################
qflow-1.4.62+dfsg.1/configure-1942-    set,)
qflow-1.4.62+dfsg.1/configure:1943:      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
qflow-1.4.62+dfsg.1/configure:1944:$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
qflow-1.4.62+dfsg.1/configure-1945-      ac_cache_corrupted=: ;;
##############################################
qflow-1.4.62+dfsg.1/configure-1953-	# differences in whitespace do not lead to failure.
qflow-1.4.62+dfsg.1/configure:1954:	ac_old_val_w=`echo x $ac_old_val`
qflow-1.4.62+dfsg.1/configure:1955:	ac_new_val_w=`echo x $ac_new_val`
qflow-1.4.62+dfsg.1/configure-1956-	if test "$ac_old_val_w" != "$ac_new_val_w"; then
##############################################
qflow-1.4.62+dfsg.1/configure-1973-    case $ac_new_val in
qflow-1.4.62+dfsg.1/configure:1974:    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
qflow-1.4.62+dfsg.1/configure-1975-    *) ac_arg=$ac_var=$ac_new_val ;;
##############################################
qflow-1.4.62+dfsg.1/configure-2045-test "x$ac_build_alias" = x &&
qflow-1.4.62+dfsg.1/configure:2046:  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
qflow-1.4.62+dfsg.1/configure-2047-test "x$ac_build_alias" = x &&
qflow-1.4.62+dfsg.1/configure-2048-  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
qflow-1.4.62+dfsg.1/configure:2049:ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
qflow-1.4.62+dfsg.1/configure-2050-  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
##############################################
qflow-1.4.62+dfsg.1/configure-2069-IFS=$ac_save_IFS
qflow-1.4.62+dfsg.1/configure:2070:case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
qflow-1.4.62+dfsg.1/configure-2071-
##############################################
qflow-1.4.62+dfsg.1/configure-2080-else
qflow-1.4.62+dfsg.1/configure:2081:  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
qflow-1.4.62+dfsg.1/configure-2082-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
##############################################
qflow-1.4.62+dfsg.1/configure-2102-IFS=$ac_save_IFS
qflow-1.4.62+dfsg.1/configure:2103:case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
qflow-1.4.62+dfsg.1/configure-2104-
##############################################
qflow-1.4.62+dfsg.1/configure-2120-ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
qflow-1.4.62+dfsg.1/configure:2121:program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
qflow-1.4.62+dfsg.1/configure-2122-
##############################################
qflow-1.4.62+dfsg.1/configure-2471-$as_echo_n "checking whether the C compiler works... " >&6; }
qflow-1.4.62+dfsg.1/configure:2472:ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
qflow-1.4.62+dfsg.1/configure-2473-
##############################################
qflow-1.4.62+dfsg.1/configure-2515-	then :; else
qflow-1.4.62+dfsg.1/configure:2516:	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
qflow-1.4.62+dfsg.1/configure-2517-	fi
##############################################
qflow-1.4.62+dfsg.1/configure-2575-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
qflow-1.4.62+dfsg.1/configure:2576:    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
qflow-1.4.62+dfsg.1/configure-2577-	  break;;
##############################################
qflow-1.4.62+dfsg.1/configure-2684-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
qflow-1.4.62+dfsg.1/configure:2685:    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
qflow-1.4.62+dfsg.1/configure-2686-       break;;
##############################################
qflow-1.4.62+dfsg.1/configure-3475-  # Check for GNU $ac_path_GREP
qflow-1.4.62+dfsg.1/configure:3476:case `"$ac_path_GREP" --version 2>&1` in
qflow-1.4.62+dfsg.1/configure-3477-*GNU*)
##############################################
qflow-1.4.62+dfsg.1/configure-3541-  # Check for GNU $ac_path_EGREP
qflow-1.4.62+dfsg.1/configure:3542:case `"$ac_path_EGREP" --version 2>&1` in
qflow-1.4.62+dfsg.1/configure-3543-*GNU*)
##############################################
qflow-1.4.62+dfsg.1/configure-3700-do :
qflow-1.4.62+dfsg.1/configure:3701:  as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
qflow-1.4.62+dfsg.1/configure-3702-ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
##############################################
qflow-1.4.62+dfsg.1/configure-3704-  cat >>confdefs.h <<_ACEOF
qflow-1.4.62+dfsg.1/configure:3705:#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
qflow-1.4.62+dfsg.1/configure-3706-_ACEOF
##############################################
qflow-1.4.62+dfsg.1/configure-5088-'
qflow-1.4.62+dfsg.1/configure:5089:DEFS=`sed -n "$ac_script" confdefs.h`
qflow-1.4.62+dfsg.1/configure-5090-
##############################################
qflow-1.4.62+dfsg.1/configure-5097-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
qflow-1.4.62+dfsg.1/configure:5098:  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
qflow-1.4.62+dfsg.1/configure-5099-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
##############################################
qflow-1.4.62+dfsg.1/configure-5164-if test -z "$BASH_VERSION$ZSH_VERSION" \
qflow-1.4.62+dfsg.1/configure:5165:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
qflow-1.4.62+dfsg.1/configure-5166-  as_echo='print -r --'
qflow-1.4.62+dfsg.1/configure-5167-  as_echo_n='print -rn --'
qflow-1.4.62+dfsg.1/configure:5168:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
qflow-1.4.62+dfsg.1/configure-5169-  as_echo='printf %s\n'
##############################################
qflow-1.4.62+dfsg.1/configure-5171-else
qflow-1.4.62+dfsg.1/configure:5172:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
qflow-1.4.62+dfsg.1/configure-5173-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
qflow-1.4.62+dfsg.1/configure-5181-	expr "X$arg" : "X\\(.*\\)$as_nl";
qflow-1.4.62+dfsg.1/configure:5182:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
qflow-1.4.62+dfsg.1/configure-5183-      esac;
##############################################
qflow-1.4.62+dfsg.1/configure-5258-# ----------------------------------------
qflow-1.4.62+dfsg.1/configure:5259:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
qflow-1.4.62+dfsg.1/configure-5260-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
qflow-1.4.62+dfsg.1/configure-5330-  {
qflow-1.4.62+dfsg.1/configure:5331:    as_val=`expr "$@" || test $? -eq 1`
qflow-1.4.62+dfsg.1/configure-5332-  }
##############################################
qflow-1.4.62+dfsg.1/configure-5434-      case $as_dir in #(
qflow-1.4.62+dfsg.1/configure:5435:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
qflow-1.4.62+dfsg.1/configure-5436-      *) as_qdir=$as_dir;;
##############################################
qflow-1.4.62+dfsg.1/configure-5556-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
qflow-1.4.62+dfsg.1/configure:5557:ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
qflow-1.4.62+dfsg.1/configure-5558-ac_cs_version="\\
##############################################
qflow-1.4.62+dfsg.1/configure-5579-  --*=?*)
qflow-1.4.62+dfsg.1/configure:5580:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
qflow-1.4.62+dfsg.1/configure:5581:    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
qflow-1.4.62+dfsg.1/configure-5582-    ac_shift=:
##############################################
qflow-1.4.62+dfsg.1/configure-5584-  --*=)
qflow-1.4.62+dfsg.1/configure:5585:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
qflow-1.4.62+dfsg.1/configure-5586-    ac_optarg=
##############################################
qflow-1.4.62+dfsg.1/configure-5608-    case $ac_optarg in
qflow-1.4.62+dfsg.1/configure:5609:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
qflow-1.4.62+dfsg.1/configure-5610-    '') as_fn_error $? "missing file argument" ;;
##############################################
qflow-1.4.62+dfsg.1/configure-5732-fi
qflow-1.4.62+dfsg.1/configure:5733:ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
qflow-1.4.62+dfsg.1/configure-5734-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
##############################################
qflow-1.4.62+dfsg.1/configure-5749-  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
qflow-1.4.62+dfsg.1/configure:5750:ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
qflow-1.4.62+dfsg.1/configure-5751-ac_delim='%!_!# '
##############################################
qflow-1.4.62+dfsg.1/configure-5755-
qflow-1.4.62+dfsg.1/configure:5756:  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
qflow-1.4.62+dfsg.1/configure-5757-  if test $ac_delim_n = $ac_delim_num; then
##############################################
qflow-1.4.62+dfsg.1/configure-5917-      esac
qflow-1.4.62+dfsg.1/configure:5918:      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
qflow-1.4.62+dfsg.1/configure-5919-      as_fn_append ac_file_inputs " '$ac_f'"
##############################################
qflow-1.4.62+dfsg.1/configure-5976-*)
qflow-1.4.62+dfsg.1/configure:5977:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
qflow-1.4.62+dfsg.1/configure-5978-  # A ".." for each directory in $ac_dir_suffix.
qflow-1.4.62+dfsg.1/configure:5979:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
qflow-1.4.62+dfsg.1/configure-5980-  case $ac_top_builddir_sub in
##############################################
qflow-1.4.62+dfsg.1/configure-6032-/@mandir@/p'
qflow-1.4.62+dfsg.1/configure:6033:case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
qflow-1.4.62+dfsg.1/configure-6034-*datarootdir*) ac_datarootdir_seen=yes;;
##############################################
qflow-1.4.62+dfsg.1/configure-6049-
qflow-1.4.62+dfsg.1/configure:6050:# Neutralize VPATH when `$srcdir' = `.'.
qflow-1.4.62+dfsg.1/configure-6051-# Shell code in configure.ac might set extrasub.
##############################################
qflow-1.4.62+dfsg.1/configure-6076-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
qflow-1.4.62+dfsg.1/configure:6077:  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
qflow-1.4.62+dfsg.1/configure-6078-  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' \
##############################################
qflow-1.4.62+dfsg.1/debian/patches/0006-Fix-error-when-calling-opensta.sh.patch-20-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/debian/patches/0006-Fix-error-when-calling-opensta.sh.patch:21:       foreach file ( `ls ${sourcedir}/${macro_path}` )
##############################################
qflow-1.4.62+dfsg.1/debian/patches/yosys_version_number_minor.patch-17- endif
qflow-1.4.62+dfsg.1/debian/patches/yosys_version_number_minor.patch:18:+set minor = `echo ${minor} | sed 's/+//'`
qflow-1.4.62+dfsg.1/debian/patches/yosys_version_number_minor.patch-19- 
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/checkdirs.sh.in-76-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/checkdirs.sh.in:77:set projectpath="`echo $projectpath | sed -e 's,^${HOME},~,'`"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/checkdirs.sh.in-78-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh-13-# Split out options from the main arguments (no options---this is a placeholder)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh:14:set argline=(`getopt "p" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh:15:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh:16:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh-17-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh-18-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh:19:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh:20:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/cleanup.sh-21-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-17-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:18:set argline=(`getopt "kdf" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:19:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:20:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-21-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-22-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:23:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:24:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-25-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-90-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:91:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-92-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-100-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:101:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-102-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-110-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:111:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-112-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-123-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:124:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-125-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-136-# Prepend techdir to each libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:137:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-138-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-176-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:177:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-178-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-198-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:199:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:200:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:201:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:202:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:203:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-204-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-235-# Pull scale units from the .info file.  Default units are centimicrons.
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:236:set units=`cat ${rootname}.info | grep units | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-237-if ( "${units}" == "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-257-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:258:	foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-259-	    if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-426-   echo "Preparing pin placement hints from ${rootname}.cel2" |& tee -a ${synthlog}
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:427:   if ( `grep -c padgroup ${rootname}.cel` == "0" ) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-428-      cat ${rootname}.cel2 >> ${rootname}.cel
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-674-   if ( !( ${?route_layers} )) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:675:      set route_layers = `cat ${rootname}.info | grep -e horizontal -e vertical | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-676-   endif
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-696-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:697:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-698-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-729-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh:730:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/graywolf.sh-731-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:14:set argline=(`getopt "x" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:15:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:16:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:17:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-18-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-19-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:20:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:21:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-22-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-101-else
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:102:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-103-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-114-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:115:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-116-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-124-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:125:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-126-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-141-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:142:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:143:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:144:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:145:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-146-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-176-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh:177:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_db.sh-178-	 if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-81-else
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:82:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-83-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-100-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:101:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-102-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-112-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:113:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-114-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-131-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:132:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:133:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:134:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:135:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-136-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-202-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh:203:set errors=`tail -10 ${synthlog} | grep "drc =" | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_drc.sh-204-if ( $errors > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-75-else
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:76:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-77-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-96-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:97:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-98-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-115-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:116:set version=`${bindir}/magic --version`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:117:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:118:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh:119:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_gds.sh-120-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-18-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:19:set argline=(`getopt "gldm" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:20:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:21:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-22-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-23-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:24:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:25:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-26-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-81-# Prepend techdir to magicrc unless magicrc begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:82:set abspath=`echo ${magicrc} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-83-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-91-foreach f (${gdsfile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:92:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-93-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-103-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:104:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-105-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-113-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:114:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-115-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-166-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh:167:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/magic_view.sh-168-            if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:14:set argline=(`getopt "" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-20-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-71-else
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:72:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-73-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-187-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh:188:set err_total = `tail -1 ${synthlog} | cut -d' ' -f4`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/netgen_lvs.sh-189-if ( ${err_total} > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-116-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:117:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-118-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-148-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:149:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-150-         if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-162-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh:163:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opensta.sh-164-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-116-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:117:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-118-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-148-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:149:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-150-         if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-162-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh:163:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/opentimer.sh-164-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-199-      default:
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:200:	 if (`echo $argv[1] | cut -c1` == "-") then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-201-	    echo "Unknown option $argv[1]"
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-297-# Use find, not ls, to avoid error output when no match is found.
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:298:set nvsrc = `find ${sourcedir} -name \*.v -print | grep -v _mapped.v | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:299:set nsvsrc = `find ${sourcedir} -name \*.sv -print | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-300-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-307-   if ($nvsrc == 1) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:308:      set vsource=`ls ${sourcedir}/*.v | grep -v _mapped.v`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-309-      set modulename=${vsource:r}
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-310-   else if ($nsvsrc == 1) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:311:      set vsource=`ls ${sourcedir}/*.sv`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-312-      set modulename=${vsource:r}
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-334-      # Check all .v and .sv files for one with the specified module.
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:335:      foreach file ( `ls ${sourcedir}/*.v ${sourcedir}/*.sv | grep -v _mapped.v` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:336:         set mline = `cat $file | grep module | grep ${modulename} | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-337-         if ( $mline > 0 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-351-if ( ${vsource} != "" ) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:352:   set vsource="`echo $vsource | sed -e 's,^${HOME},~,'`"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-353-endif
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-383-if ( -f $varfile ) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in:384:   set techorig=`cat $varfile | grep techname= | cut -d= -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qflow.sh.in-385-   if ( "${tech}" != "${techorig}" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-14-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:15:set argline=(`getopt "nr" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-16-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:17:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:18:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:19:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-20-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-21-if ($argc >= 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:22:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:23:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-24-   if ($argc == 3) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:25:      set statusin = `echo $cmdargs | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-26-      if ($statusin == 2) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-67-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:68:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-69-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-77-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:78:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-79-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-87-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:88:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-89-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-100-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:101:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-102-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-125-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:126:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-127-            if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-171-else
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:172:   set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-173-   if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-189-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:190:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:191:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:192:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:193:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh:194:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/qrouter.sh-195-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-14-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:15:set argline=(`getopt "kdf" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:16:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:17:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-18-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-19-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:20:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:21:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-22-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-87-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:88:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-89-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-97-# Prepend techdir to techleffile unless techleffile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:98:set abspath=`echo ${techleffile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-99-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-107-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:108:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-109-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-120-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:121:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-122-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-165-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:166:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-167-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-187-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:188:set version=`${bindir}/qrouter -v 0 -h | tail -1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:189:set major=`echo $version | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:190:set minor=`echo $version | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:191:set subv=`echo $version | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:192:set scripting=`echo $version | cut -d. -f4`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-193-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-224-# Pull scale units from the .info file.  Default units are centimicrons.
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:225:set units=`cat ${rootname}.info | grep units | cut -d' ' -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-226-if ( "${units}" == "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-249-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:250:	foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-251-	    if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-384-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:385:set outfile=`ls outputs/ispd/${rootname}_preplace/experiment*/${rootname}_preplace_final.def --sort=time | head -1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-386-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-479-   if ( !( ${?route_layers} )) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:480:      set route_layers = `cat ${rootname}.info | grep -e horizontal -e vertical | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-481-   endif
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-501-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:502:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-503-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-534-          foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh:535:	      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/replace.sh-536-		  if ( ${file:e} == "lef" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-15-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-19-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-112-# Prepend techdir to libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:113:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-114-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-125-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:126:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-127-	 if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-140-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh:141:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/vesta.sh-142-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-88-# Prepend techdir to libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:89:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-90-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-98-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:99:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-100-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-111-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:112:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-113-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-127-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:128:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-129-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-138-# Determine version of yosys
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:139:set versionstring = `${bindir}/yosys -V | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:140:#set major = `echo $versionstring | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-141-set major = 0
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:142:set minor = `echo $versionstring | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-143-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-144-# Sigh. . .  versioning doesn't follow any fixed standard
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:145:set minortest = `echo $minor | cut -d+ -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:146:set minor = `echo $minor | cut -d+ -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-147-if ( ${minortest} == "" ) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-148-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:149:   set revisionstring = `echo $versionstring | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-150-   if ( ${revisionstring} == "" ) set revisionstring = 0
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:151:   set revision = `echo $revisionstring | cut -d+ -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:152:   set subrevision = `echo $revisionstring | cut -d+ -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-153-   if ( ${subrevision} == "" ) set subrevision = 0
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-165-endif
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:166:set usescript = `echo ${yosys_options} | grep -- -s | wc -l`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-167-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-218-      foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:219:         foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-220-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-229-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:230:   set lines=`cat $source_file_list`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-231-   set i=1
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-246-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:247:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-248-
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-333-       foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:334:           foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-335-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-380-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:381:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-382-
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-383-   if ($yerrcnt > 1) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:384:      set yvalid = `echo $yerrors | grep "referenced in module" | wc -c`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-385-      # Check error message specific to a missing source file.
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:386:      set ymissing = `echo $yerrors | grep "is not part of" | wc -c`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-387-      if (($ymissing > 1) && ($yvalid > 1)) then
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:388:         set newdep = `echo $yerrors | cut -d " " -f 3 | cut -c3- | cut -d "'" -f 1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-389-         set uniquedeplist = "${uniquedeplist} ${newdep}"
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-430-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:431:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-432-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-649-echo "getpowerground.tcl ${lefpath}" |& tee -a ${synthlog}
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:650:set powerground = `${scriptdir}/getpowerground.tcl ${lefpath} | grep =`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:651:set testnet = `echo $powerground | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:652:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:653:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-654-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-656-endif
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:657:set testnet = `echo $powerground | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:658:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:659:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-660-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-753-	 foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:754:	    foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-755-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-944-	  foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh:945:	     foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/fix_tcsh_path.patch/scripts/yosys.sh-946-	        if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-88-# Prepend techdir to libertyfile unless libertyfile begins with "/"
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:89:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-90-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-98-foreach f (${spicefile})
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:99:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-100-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-111-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:112:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-113-	 # Too bad SPICE doesn't have an agreed-upon extension.  Common ones are:
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-127-foreach f (${leffile})
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:128:   set abspath=`echo ${f} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-129-   if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-138-# Determine version of yosys
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:139:set versionstring = `${bindir}/yosys -V | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:140:#set major = `echo $versionstring | cut -d. -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-141-set major = 0
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:142:set minor = `echo $versionstring | cut -d. -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-143-
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-144-# Sigh. . .  versioning doesn't follow any fixed standard
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:145:set minortest = `echo $minor | cut -d+ -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:146:set minor = `echo $minor | cut -d+ -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-147-if ( ${minortest} == "" ) then
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-148-
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:149:   set revisionstring = `echo $versionstring | cut -d. -f3`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-150-   if ( ${revisionstring} == "" ) set revisionstring = 0
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:151:   set revision = `echo $revisionstring | cut -d+ -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:152:   set subrevision = `echo $revisionstring | cut -d+ -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-153-   if ( ${subrevision} == "" ) set subrevision = 0
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-165-endif
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:166:set usescript = `echo ${yosys_options} | grep -- -s | wc -l`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-167-
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-218-      foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:219:         foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-220-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-229-
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:230:   set lines=`cat $source_file_list`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-231-   set i=1
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-246-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:247:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-248-
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-333-       foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:334:           foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-335-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-380-		-e "/\[/s/\[//g" -e "/\]/s/\]//g" | grep ERROR`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:381:   set yerrcnt = `echo $yerrors | wc -c`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-382-
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-383-   if ($yerrcnt > 1) then
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:384:      set yvalid = `echo $yerrors | grep "referenced in module" | wc -c`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-385-      # Check error message specific to a missing source file.
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:386:      set ymissing = `echo $yerrors | grep "is not part of" | wc -c`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-387-      if (($ymissing > 1) && ($yvalid > 1)) then
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:388:         set newdep = `echo $yerrors | cut -d " " -f 3 | cut -c3- | cut -d "'" -f 1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-389-         set uniquedeplist = "${uniquedeplist} ${newdep}"
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-430-    foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:431:        foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-432-	    if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-649-echo "getpowerground.tcl ${lefpath}" |& tee -a ${synthlog}
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:650:set powerground = `${scriptdir}/getpowerground.tcl ${lefpath} | grep =`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:651:set testnet = `echo $powerground | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:652:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:653:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-654-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-656-endif
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:657:set testnet = `echo $powerground | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:658:set testnettype = `echo $testnet | cut -d= -f1`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:659:set testnetname = `echo $testnet | cut -d= -f2`
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-660-if ( "$testnetname" != "" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-753-	 foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:754:	    foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-755-	       if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-944-	  foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh:945:	     foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/yosys_version_number_minor.patch/scripts/yosys.sh-946-	        if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-13-# Split out options from the main arguments
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:14:set argline=(`getopt "ad" $argv[1-]`)
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-15-
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:16:set options=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $1}'`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:17:set cmdargs=`echo "$argline" | awk 'BEGIN {FS = "-- "} END {print $2}'`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:18:set argc=`echo $cmdargs | wc -w`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-19-
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-20-if ($argc == 2) then
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:21:   set argv1=`echo $cmdargs | cut -d' ' -f1`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:22:   set argv2=`echo $cmdargs | cut -d' ' -f2`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-23-else
##############################################
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-104-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:105:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-106-if ( ${errcond} == 1 ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-116-
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:117:set abspath=`echo ${libertyfile} | cut -c1`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-118-if ( "${abspath}" == "/" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-148-   foreach macro_path ( $hard_macros )
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:149:      foreach file ( `ls ${sourcedir}/${macro_path}` )
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-150-         if ( ${file:e} == "lib" ) then
##############################################
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-162-# Check if last line of log file says "error condition"
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh:163:set errcond = `tail -1 ${lastlog} | grep "error condition" | wc -l`
qflow-1.4.62+dfsg.1/.pc/0006-Fix-error-when-calling-opensta.sh.patch/scripts/opentimer.sh-164-if ( ${errcond} == 1 ) then