Flawfinder version 2.0.10, (C) 2001-2019 David A. Wheeler.
Number of rules (primarily dangerous function names) in C/C++ ruleset: 223
Examining data/verilator-4.038/include/verilated_fst_c.cpp
Examining data/verilator-4.038/include/verilated_heavy.h
Examining data/verilator-4.038/include/verilated_vcd_sc.cpp
Examining data/verilator-4.038/include/verilatedos.h
Examining data/verilator-4.038/include/verilated_fst_c.h
Examining data/verilator-4.038/include/verilated_dpi.h
Examining data/verilator-4.038/include/verilated_vcd_sc.h
Examining data/verilator-4.038/include/verilated_trace.h
Examining data/verilator-4.038/include/verilated_unordered_set_map.h
Examining data/verilator-4.038/include/verilated_dpi.cpp
Examining data/verilator-4.038/include/gtkwave/wavealloca.h
Examining data/verilator-4.038/include/gtkwave/fastlz.c
Examining data/verilator-4.038/include/gtkwave/lz4.h
Examining data/verilator-4.038/include/gtkwave/fstapi.h
Examining data/verilator-4.038/include/gtkwave/lz4.c
Examining data/verilator-4.038/include/gtkwave/fstapi.c
Examining data/verilator-4.038/include/gtkwave/fst_config.h
Examining data/verilator-4.038/include/gtkwave/fastlz.h
Examining data/verilator-4.038/include/verilated_cov_key.h
Examining data/verilator-4.038/include/verilated_syms.h
Examining data/verilator-4.038/include/verilated.cpp
Examining data/verilator-4.038/include/verilated_imp.h
Examining data/verilator-4.038/include/verilated_config.h
Examining data/verilator-4.038/include/verilated_cov.cpp
Examining data/verilator-4.038/include/verilated.h
Examining data/verilator-4.038/include/verilated_cov.h
Examining data/verilator-4.038/include/verilated_trace_imp.cpp
Examining data/verilator-4.038/include/verilated_vpi.cpp
Examining data/verilator-4.038/include/verilated_sc.h
Examining data/verilator-4.038/include/verilated_vcd_c.h
Examining data/verilator-4.038/include/verilated_save.h
Examining data/verilator-4.038/include/verilated_threads.h
Examining data/verilator-4.038/include/verilated_intrinsics.h
Examining data/verilator-4.038/include/verilated_sym_props.h
Examining data/verilator-4.038/include/verilated_vpi.h
Examining data/verilator-4.038/include/verilated_save.cpp
Examining data/verilator-4.038/include/vltstd/vpi_user.h
Examining data/verilator-4.038/include/vltstd/svdpi.h
Examining data/verilator-4.038/include/verilated_threads.cpp
Examining data/verilator-4.038/include/verilated_vcd_c.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.cpp
Examining data/verilator-4.038/test_regress/t/t_mem_multi_io2.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_lib_c.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_import_c.cpp
Examining data/verilator-4.038/test_regress/t/t_flag_fi_h.h
Examining data/verilator-4.038/test_regress/t/t_dpi_string_c.cpp
Examining data/verilator-4.038/test_regress/t/t_tri_inout.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_accessors.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_memory.cpp
Examining data/verilator-4.038/test_regress/t/t_clk_2in.cpp
Examining data/verilator-4.038/test_regress/t/t_x_assign.cpp
Examining data/verilator-4.038/test_regress/t/t_flag_fi.cpp
Examining data/verilator-4.038/test_regress/t/t_timescale_parse.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_sys_c.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_two_sc.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.cpp
Examining data/verilator-4.038/test_regress/t/t_enum_public.cpp
Examining data/verilator-4.038/test_regress/t/t_var_pinsizes.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_unimpl.cpp
Examining data/verilator-4.038/test_regress/t/t_param_public.cpp
Examining data/verilator-4.038/test_regress/t/t_tri_inz.cpp
Examining data/verilator-4.038/test_regress/t/TestSimulator.h
Examining data/verilator-4.038/test_regress/t/t_dpi_display_c.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_cat.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_shortcircuit_c.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_finish_c.cpp
Examining data/verilator-4.038/test_regress/t/t_extend_class_c.h
Examining data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.cpp
Examining data/verilator-4.038/test_regress/t/t_tri_pullup.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_c_api.cpp
Examining data/verilator-4.038/test_regress/t/t_var_overwidth_bad.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_imp_gen_c.cpp
Examining data/verilator-4.038/test_regress/t/t_multitop_sig.cpp
Examining data/verilator-4.038/test_regress/t/t_clk_inp_init.cpp
Examining data/verilator-4.038/test_regress/t/t_math_imm2.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_public_func.cpp
Examining data/verilator-4.038/test_regress/t/t_mem_slot.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_qw_c.cpp
Examining data/verilator-4.038/test_regress/t/t_timescale.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_vams.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_stop_bad_c.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_export_c.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_var.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_export_context_bad.cpp
Examining data/verilator-4.038/test_regress/t/t_flag_ldflags_so.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_var.cpp
Examining data/verilator-4.038/test_regress/t/t_scope_map.cpp
Examining data/verilator-4.038/test_regress/t/t_func_rand.cpp
Examining data/verilator-4.038/test_regress/t/t_order_multidriven.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_threads_c.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_module.cpp
Examining data/verilator-4.038/test_regress/t/t_order_quad.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_param.cpp
Examining data/verilator-4.038/test_regress/t/t_tri_select.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_open_c.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_get.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_open_query.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_sc.cpp
Examining data/verilator-4.038/test_regress/t/t_time_vpi_c.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_two_cc.cpp
Examining data/verilator-4.038/test_regress/t/TestVpi.h
Examining data/verilator-4.038/test_regress/t/t_dpi_context_c.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.cpp
Examining data/verilator-4.038/test_regress/t/t_trace_public_sig.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_openfirst_c.cpp
Examining data/verilator-4.038/test_regress/t/t_leak.cpp
Examining data/verilator-4.038/test_regress/t/t_embed1_c.cpp
Examining data/verilator-4.038/test_regress/t/t_protect_ids_c.cpp
Examining data/verilator-4.038/test_regress/t/t_flag_ldflags_a.cpp
Examining data/verilator-4.038/test_regress/t/t_tri_gate.cpp
Examining data/verilator-4.038/test_regress/t/t_vpi_time_cb.cpp
Examining data/verilator-4.038/test_regress/t/t_flag_ldflags_c.cpp
Examining data/verilator-4.038/test_regress/t/t_dpi_result_type.cpp
Examining data/verilator-4.038/test_regress/t/t_mem_multi_io3.cpp
Examining data/verilator-4.038/src/V3Number_test.cpp
Examining data/verilator-4.038/src/V3Descope.h
Examining data/verilator-4.038/src/V3Undriven.h
Examining data/verilator-4.038/src/V3FileLine.h
Examining data/verilator-4.038/src/V3PartitionGraph.h
Examining data/verilator-4.038/src/V3Inline.h
Examining data/verilator-4.038/src/V3Begin.h
Examining data/verilator-4.038/src/V3Subst.cpp
Examining data/verilator-4.038/src/V3LinkLevel.h
Examining data/verilator-4.038/src/V3Subst.h
Examining data/verilator-4.038/src/V3Stats.cpp
Examining data/verilator-4.038/src/V3Order.cpp
Examining data/verilator-4.038/src/V3Delayed.cpp
Examining data/verilator-4.038/src/V3Cast.cpp
Examining data/verilator-4.038/src/V3Life.h
Examining data/verilator-4.038/src/V3Life.cpp
Examining data/verilator-4.038/src/V3GraphPathChecker.h
Examining data/verilator-4.038/src/V3Hashed.h
Examining data/verilator-4.038/src/V3Number.h
Examining data/verilator-4.038/src/V3GenClk.cpp
Examining data/verilator-4.038/src/V3EmitCSyms.cpp
Examining data/verilator-4.038/src/V3AstNodes.cpp
Examining data/verilator-4.038/src/V3EmitCInlines.cpp
Examining data/verilator-4.038/src/V3PreProc.cpp
Examining data/verilator-4.038/src/V3Active.cpp
Examining data/verilator-4.038/src/V3TraceDecl.h
Examining data/verilator-4.038/src/V3Name.cpp
Examining data/verilator-4.038/src/V3GraphAlg.h
Examining data/verilator-4.038/src/V3LinkCells.cpp
Examining data/verilator-4.038/src/V3AstConstOnly.h
Examining data/verilator-4.038/src/V3EmitV.cpp
Examining data/verilator-4.038/src/V3Config.cpp
Examining data/verilator-4.038/src/V3SenTree.h
Examining data/verilator-4.038/src/V3LinkLevel.cpp
Examining data/verilator-4.038/src/V3AstNodes.h
Examining data/verilator-4.038/src/V3Width.h
Examining data/verilator-4.038/src/V3CoverageJoin.h
Examining data/verilator-4.038/src/V3Ast.h
Examining data/verilator-4.038/src/V3Assert.cpp
Examining data/verilator-4.038/src/V3Error.h
Examining data/verilator-4.038/src/V3Const.cpp
Examining data/verilator-4.038/src/V3DepthBlock.h
Examining data/verilator-4.038/src/V3PreShell.h
Examining data/verilator-4.038/src/V3Hashed.cpp
Examining data/verilator-4.038/src/V3EmitXml.cpp
Examining data/verilator-4.038/src/V3LinkJump.cpp
Examining data/verilator-4.038/src/V3String.h
Examining data/verilator-4.038/src/V3EmitXml.h
Examining data/verilator-4.038/src/V3MergeCond.cpp
Examining data/verilator-4.038/src/V3Case.h
Examining data/verilator-4.038/src/V3Graph.h
Examining data/verilator-4.038/src/V3Case.cpp
Examining data/verilator-4.038/src/V3EmitCMain.h
Examining data/verilator-4.038/src/V3Tristate.cpp
Examining data/verilator-4.038/src/V3InstrCount.cpp
Examining data/verilator-4.038/src/V3EmitCMain.cpp
Examining data/verilator-4.038/src/V3Undriven.cpp
Examining data/verilator-4.038/src/V3Unroll.cpp
Examining data/verilator-4.038/src/VlcSource.h
Examining data/verilator-4.038/src/V3Os.h
Examining data/verilator-4.038/src/V3SplitVar.cpp
Examining data/verilator-4.038/src/V3Premit.cpp
Examining data/verilator-4.038/src/V3Clean.cpp
Examining data/verilator-4.038/src/V3Dead.cpp
Examining data/verilator-4.038/src/V3LinkInc.cpp
Examining data/verilator-4.038/src/V3Name.h
Examining data/verilator-4.038/src/V3LinkLValue.h
Examining data/verilator-4.038/src/V3LinkCells.h
Examining data/verilator-4.038/src/V3Split.cpp
Examining data/verilator-4.038/src/V3LinkDot.cpp
Examining data/verilator-4.038/src/V3ProtectLib.h
Examining data/verilator-4.038/src/V3Unknown.cpp
Examining data/verilator-4.038/src/V3ActiveTop.h
Examining data/verilator-4.038/src/V3Table.h
Examining data/verilator-4.038/src/config_rev.h
Examining data/verilator-4.038/src/V3String.cpp
Examining data/verilator-4.038/src/V3Const.h
Examining data/verilator-4.038/src/V3Scope.h
Examining data/verilator-4.038/src/config_build.h
Examining data/verilator-4.038/src/V3Begin.cpp
Examining data/verilator-4.038/src/V3Clock.h
Examining data/verilator-4.038/src/V3Branch.h
Examining data/verilator-4.038/src/V3Ast.cpp
Examining data/verilator-4.038/src/V3Localize.cpp
Examining data/verilator-4.038/src/V3Reloop.h
Examining data/verilator-4.038/src/V3InstrCount.h
Examining data/verilator-4.038/src/V3CCtors.cpp
Examining data/verilator-4.038/src/V3EmitC.h
Examining data/verilator-4.038/src/V3MergeCond.h
Examining data/verilator-4.038/src/V3LinkDot.h
Examining data/verilator-4.038/src/V3SymTable.h
Examining data/verilator-4.038/src/V3GraphDfa.h
Examining data/verilator-4.038/src/V3CoverageJoin.cpp
Examining data/verilator-4.038/src/V3CCtors.h
Examining data/verilator-4.038/src/V3ParseLex.cpp
Examining data/verilator-4.038/src/V3StatsReport.cpp
Examining data/verilator-4.038/src/V3Reloop.cpp
Examining data/verilator-4.038/src/V3GraphAcyc.cpp
Examining data/verilator-4.038/src/V3Dead.h
Examining data/verilator-4.038/src/V3Localize.h
Examining data/verilator-4.038/src/V3LinkLValue.cpp
Examining data/verilator-4.038/src/V3Table.cpp
Examining data/verilator-4.038/src/V3Slice.h
Examining data/verilator-4.038/src/VlcPoint.h
Examining data/verilator-4.038/src/V3Active.h
Examining data/verilator-4.038/src/V3LifePost.h
Examining data/verilator-4.038/src/VlcTop.h
Examining data/verilator-4.038/src/V3Waiver.cpp
Examining data/verilator-4.038/src/V3GenClk.h
Examining data/verilator-4.038/src/V3LanguageWords.h
Examining data/verilator-4.038/src/V3Split.h
Examining data/verilator-4.038/src/V3List.h
Examining data/verilator-4.038/src/V3Expand.cpp
Examining data/verilator-4.038/src/V3Trace.h
Examining data/verilator-4.038/src/V3Error.cpp
Examining data/verilator-4.038/src/V3Order.h
Examining data/verilator-4.038/src/V3FileLine.cpp
Examining data/verilator-4.038/src/V3PreProc.h
Examining data/verilator-4.038/src/V3PreLex.h
Examining data/verilator-4.038/src/V3Scoreboard.h
Examining data/verilator-4.038/src/VlcMain.cpp
Examining data/verilator-4.038/src/V3Os.cpp
Examining data/verilator-4.038/src/V3Broken.h
Examining data/verilator-4.038/src/V3Task.cpp
Examining data/verilator-4.038/src/VlcBucket.h
Examining data/verilator-4.038/src/V3ParseImp.cpp
Examining data/verilator-4.038/src/V3Gate.h
Examining data/verilator-4.038/src/V3Options.cpp
Examining data/verilator-4.038/src/V3Config.h
Examining data/verilator-4.038/src/V3Clean.h
Examining data/verilator-4.038/src/V3LangCode.h
Examining data/verilator-4.038/src/V3Parse.h
Examining data/verilator-4.038/src/V3Waiver.h
Examining data/verilator-4.038/src/V3TSP.cpp
Examining data/verilator-4.038/src/V3EmitC.cpp
Examining data/verilator-4.038/src/V3Assert.h
Examining data/verilator-4.038/src/V3Param.cpp
Examining data/verilator-4.038/src/V3Param.h
Examining data/verilator-4.038/src/V3Unroll.h
Examining data/verilator-4.038/src/V3Depth.h
Examining data/verilator-4.038/src/V3WidthCommit.h
Examining data/verilator-4.038/src/V3LinkParse.h
Examining data/verilator-4.038/src/VlcOptions.h
Examining data/verilator-4.038/src/V3LifePost.cpp
Examining data/verilator-4.038/src/V3PreShell.cpp
Examining data/verilator-4.038/src/V3OrderGraph.h
Examining data/verilator-4.038/src/V3GraphTest.cpp
Examining data/verilator-4.038/src/V3Cdc.h
Examining data/verilator-4.038/src/V3EmitCMake.h
Examining data/verilator-4.038/src/V3LinkInc.h
Examining data/verilator-4.038/src/V3DepthBlock.cpp
Examining data/verilator-4.038/src/V3ParseGrammar.cpp
Examining data/verilator-4.038/src/V3Tristate.h
Examining data/verilator-4.038/src/V3Scoreboard.cpp
Examining data/verilator-4.038/src/V3File.h
Examining data/verilator-4.038/src/V3GraphStream.h
Examining data/verilator-4.038/src/V3Changed.h
Examining data/verilator-4.038/src/V3ParseImp.h
Examining data/verilator-4.038/src/Verilator.cpp
Examining data/verilator-4.038/src/V3Cdc.cpp
Examining data/verilator-4.038/src/V3SplitAs.h
Examining data/verilator-4.038/src/V3Combine.cpp
Examining data/verilator-4.038/src/V3LinkResolve.h
Examining data/verilator-4.038/src/V3Width.cpp
Examining data/verilator-4.038/src/V3Stats.h
Examining data/verilator-4.038/src/V3Changed.cpp
Examining data/verilator-4.038/src/V3Scope.cpp
Examining data/verilator-4.038/src/V3Gate.cpp
Examining data/verilator-4.038/src/V3Inline.cpp
Examining data/verilator-4.038/src/V3CUse.cpp
Examining data/verilator-4.038/src/V3Slice.cpp
Examining data/verilator-4.038/src/V3LinkJump.h
Examining data/verilator-4.038/src/V3SplitAs.cpp
Examining data/verilator-4.038/src/VlcTest.h
Examining data/verilator-4.038/src/V3Task.h
Examining data/verilator-4.038/src/V3Trace.cpp
Examining data/verilator-4.038/src/V3Options.h
Examining data/verilator-4.038/src/V3ParseSym.h
Examining data/verilator-4.038/src/V3Partition.cpp
Examining data/verilator-4.038/src/V3LinkParse.cpp
Examining data/verilator-4.038/src/V3Inst.h
Examining data/verilator-4.038/src/V3Class.h
Examining data/verilator-4.038/src/V3Coverage.h
Examining data/verilator-4.038/src/V3Clock.cpp
Examining data/verilator-4.038/src/V3ProtectLib.cpp
Examining data/verilator-4.038/src/V3AssertPre.cpp
Examining data/verilator-4.038/src/V3Broken.cpp
Examining data/verilator-4.038/src/V3AssertPre.h
Examining data/verilator-4.038/src/V3EmitCMake.cpp
Examining data/verilator-4.038/src/V3Number.cpp
Examining data/verilator-4.038/src/V3CUse.h
Examining data/verilator-4.038/src/V3Premit.h
Examining data/verilator-4.038/src/V3Delayed.h
Examining data/verilator-4.038/src/V3Unknown.h
Examining data/verilator-4.038/src/V3Cast.h
Examining data/verilator-4.038/src/V3Partition.h
Examining data/verilator-4.038/src/V3TSP.h
Examining data/verilator-4.038/src/V3GraphDfa.cpp
Examining data/verilator-4.038/src/V3EmitMk.h
Examining data/verilator-4.038/src/V3Combine.h
Examining data/verilator-4.038/src/V3Coverage.cpp
Examining data/verilator-4.038/src/V3Graph.cpp
Examining data/verilator-4.038/src/V3Global.cpp
Examining data/verilator-4.038/src/V3TraceDecl.cpp
Examining data/verilator-4.038/src/V3SplitVar.h
Examining data/verilator-4.038/src/V3LinkResolve.cpp
Examining data/verilator-4.038/src/V3Global.h
Examining data/verilator-4.038/src/V3ActiveTop.cpp
Examining data/verilator-4.038/src/V3WidthSel.cpp
Examining data/verilator-4.038/src/V3Class.cpp
Examining data/verilator-4.038/src/V3File.cpp
Examining data/verilator-4.038/src/V3Expand.h
Examining data/verilator-4.038/src/V3EmitMk.cpp
Examining data/verilator-4.038/src/V3Branch.cpp
Examining data/verilator-4.038/src/V3EmitV.h
Examining data/verilator-4.038/src/V3Descope.cpp
Examining data/verilator-4.038/src/V3EmitCBase.h
Examining data/verilator-4.038/src/V3Depth.cpp
Examining data/verilator-4.038/src/V3Simulate.h
Examining data/verilator-4.038/src/V3Inst.cpp
Examining data/verilator-4.038/src/VlcTop.cpp
Examining data/verilator-4.038/src/V3GraphPathChecker.cpp
Examining data/verilator-4.038/src/V3GraphAlg.cpp
Examining data/verilator-4.038/examples/make_hello_c/sim_main.cpp
Examining data/verilator-4.038/examples/make_tracing_c/sim_main.cpp
Examining data/verilator-4.038/examples/make_protect_lib/sim_main.cpp
Examining data/verilator-4.038/examples/make_tracing_sc/sc_main.cpp
Examining data/verilator-4.038/examples/make_hello_sc/sc_main.cpp

FINAL RESULTS:

data/verilator-4.038/include/verilated.cpp:2353:5:  [5] (buffer) strncat:
  Easily used incorrectly (e.g., incorrectly computing the correct maximum
  size to add) [MS-banned] (CWE-120). Consider strcat_s, strlcat, snprintf,
  or automatically resizing strings. Risk is high; the length parameter
  appears to be a constant, instead of computing the number of characters
  left.
    strncat(outstr, match.c_str(), VL_VALUE_STRING_MAX_WIDTH - 1);
data/verilator-4.038/include/gtkwave/fstapi.c:213:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "GetTempPath() failed in " __FILE__ " line %d, exiting.\n", __LINE__);
data/verilator-4.038/include/gtkwave/fstapi.c:221:25:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                        fprintf(stderr, FST_APIMESS "GetTempFileName() failed in " __FILE__ " line %d, exiting.\n", __LINE__);
data/verilator-4.038/include/gtkwave/fstapi.c:831:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "Seek to #%" PRId64 " (whence = %d) failed!\n", offset, whence);
data/verilator-4.038/include/gtkwave/fstapi.c:949:1:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
strcpy(vbuf, FST_WRITER_STR);
data/verilator-4.038/include/gtkwave/fstapi.c:955:1:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
strcpy(dbuf, asctime(localtime(&walltime)));
data/verilator-4.038/include/gtkwave/fstapi.c:1714:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "value chains: %d\n", cnt);
data/verilator-4.038/include/gtkwave/fstapi.c:1790:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "<< dump file size limit reached, stopping dumping >>\n");
data/verilator-4.038/include/gtkwave/fstapi.c:2147:17:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
                sprintf(fnam, "%s.hier", xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:2179:25:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
                        strcpy(hf, xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:2242:9:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
        strcpy(hf, xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:2386:9:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
        strcpy(path2, path);
data/verilator-4.038/include/gtkwave/fstapi.c:2560:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "fstWriterSetParallelMode(), FST_WRITER_PARALLEL not enabled during compile, exiting.\n");
data/verilator-4.038/include/gtkwave/fstapi.c:2826:19:  [4] (format) sprintf:
  Potential format string problem (CWE-134). Make format string constant.
	elem_count_len = sprintf(elem_count_buf, "%" PRIu32, elem_count);
data/verilator-4.038/include/gtkwave/fstapi.c:2885:2:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
	fprintf(stderr, FST_APIMESS "fstWriterCreateEnumTable() total_len: %d, pos: %d\n", total_len, pos);
data/verilator-4.038/include/gtkwave/fstapi.c:2886:2:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
	fprintf(stderr, FST_APIMESS "*%s*\n", attr_str);
data/verilator-4.038/include/gtkwave/fstapi.c:2947:41:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                        fprintf(stderr, FST_APIMESS "Could not realloc() in fstWriterEmitValueChange, exiting.\n");
data/verilator-4.038/include/gtkwave/fstapi.c:3073:33:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                fprintf(stderr,
data/verilator-4.038/include/gtkwave/fstapi.c:3122:33:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                fprintf(stderr,
data/verilator-4.038/include/gtkwave/fstapi.c:3182:33:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                fprintf(stderr, FST_APIMESS "Could not realloc() in fstWriterEmitVariableLengthValueChange, exiting.\n");
data/verilator-4.038/include/gtkwave/fstapi.c:3427:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "Seek to #%" PRId64 " (whence = %d) failed!\n", offset, whence);
data/verilator-4.038/include/gtkwave/fstapi.c:3566:17:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
                strcpy(xc->curr_flat_hier_nam + chl + 1, nam);
data/verilator-4.038/include/gtkwave/fstapi.c:3570:17:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
                strcpy(xc->curr_flat_hier_nam, nam);
data/verilator-4.038/include/gtkwave/fstapi.c:3932:9:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
        sprintf(fnam, "%s.hier_%d_%p", xc->filename, getpid(), (void *)xc);
data/verilator-4.038/include/gtkwave/fstapi.c:4563:9:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
        sprintf(hf, "%s.upk_%d_%p", xc->filename, getpid(), (void *)xc);
data/verilator-4.038/include/gtkwave/fstapi.c:4747:49:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                                fprintf(stderr, FST_APIMESS "fstReaderInit(), geom uncompress rc = %d, exiting.\n", rc);
data/verilator-4.038/include/gtkwave/fstapi.c:5038:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "<< EOF >>\n");
data/verilator-4.038/include/gtkwave/fstapi.c:5077:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "sec: %u seclen: %d begtim: %d endtim: %d\n",
data/verilator-4.038/include/gtkwave/fstapi.c:5079:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "mem_required_for_traversal: %d\n", (int)mem_required_for_traversal);
data/verilator-4.038/include/gtkwave/fstapi.c:5097:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "time section unc: %d, com: %d (%d items)\n",
data/verilator-4.038/include/gtkwave/fstapi.c:5117:25:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                        fprintf(stderr, FST_APIMESS "fstReaderIterBlocks2(), tsec uncompress rc = %d, exiting.\n", rc);
data/verilator-4.038/include/gtkwave/fstapi.c:5173:58:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
                                                wx_len = sprintf(wx_buf, "$dump%s $end\n", (xc->blackout_activity[cur_blackout++]) ? "on" : "off");
data/verilator-4.038/include/gtkwave/fstapi.c:5195:41:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                        fprintf(stderr, FST_APIMESS "fstReaderIterBlocks2(), frame uncompress rc: %d, exiting.\n", rc);
data/verilator-4.038/include/gtkwave/fstapi.c:5335:82:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
                                                                        wx_len = sprintf(wx_buf, "r%.16g %s\n", d, vcdid_buf);
data/verilator-4.038/include/gtkwave/fstapi.c:5358:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "frame_uclen: %d, frame_clen: %d, frame_maxhandle: %d\n",
data/verilator-4.038/include/gtkwave/fstapi.c:5360:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "vc_maxhandle: %d, packtype: %c\n", (int)vc_maxhandle, packtype);
data/verilator-4.038/include/gtkwave/fstapi.c:5368:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "indx_pos: %d (%d bytes)\n", (int)indx_pos, (int)chain_clen);
data/verilator-4.038/include/gtkwave/fstapi.c:5487:9:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        fprintf(stderr, FST_APIMESS "decompressed chain idx len: %" PRIu32 "\n", idx);
data/verilator-4.038/include/gtkwave/fstapi.c:5555:41:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                                        fprintf(stderr, FST_APIMESS "fstReaderIterBlocks2(), fac: %d clen: %d (rc=%d), exiting.\n", (int)i, (int)val, rc);
data/verilator-4.038/include/gtkwave/fstapi.c:5609:58:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
                                                wx_len = sprintf(wx_buf, "$dump%s $end\n", (xc->blackout_activity[cur_blackout++]) ? "on" : "off");
data/verilator-4.038/include/gtkwave/fstapi.c:6109:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "rvat sec: %u seclen: %d begtim: %d endtim: %d\n",
data/verilator-4.038/include/gtkwave/fstapi.c:6111:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "mem_required_for_traversal: %d\n", (int)mem_required_for_traversal);
data/verilator-4.038/include/gtkwave/fstapi.c:6130:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "time section unc: %d, com: %d (%d items)\n",
data/verilator-4.038/include/gtkwave/fstapi.c:6147:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "fstReaderGetValueFromHandleAtTime(), tsec uncompress rc = %d, exiting.\n", rc);
data/verilator-4.038/include/gtkwave/fstapi.c:6195:17:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                fprintf(stderr, FST_APIMESS "fstReaderGetValueFromHandleAtTime(), frame decompress rc: %d, exiting.\n", rc);
data/verilator-4.038/include/gtkwave/fstapi.c:6206:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "frame_uclen: %d, frame_clen: %d, frame_maxhandle: %d\n",
data/verilator-4.038/include/gtkwave/fstapi.c:6208:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "vc_maxhandle: %d\n", (int)xc->rvat_vc_maxhandle);
data/verilator-4.038/include/gtkwave/fstapi.c:6216:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "indx_pos: %d (%d bytes)\n", (int)indx_pos, (int)chain_clen);
data/verilator-4.038/include/gtkwave/fstapi.c:6327:1:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
fprintf(stderr, FST_APIMESS "decompressed chain idx len: %" PRIu32 "\n", idx);
data/verilator-4.038/include/gtkwave/fstapi.c:6387:25:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                        fprintf(stderr, FST_APIMESS "fstReaderGetValueFromHandleAtTime(), rvat decompress clen: %d (rc=%d), exiting.\n", (int)xc->rvat_chain_len, rc);
data/verilator-4.038/include/verilated.cpp:643:18:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
        digits = sprintf(tmp, "%" VL_PRI64 "u%s", whole, suffix.c_str());
data/verilator-4.038/include/verilated.cpp:645:18:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
        digits = sprintf(tmp, "%" VL_PRI64 "u.%0*" VL_PRI64 "u%s", whole, fracDigits, fraction,
data/verilator-4.038/include/verilated.cpp:746:21:  [4] (format) sprintf:
  Potential format string problem (CWE-134). Make format string constant.
                    sprintf(tmp, fmt.c_str(), d);
data/verilator-4.038/include/verilated.cpp:1488:16:  [4] (shell) system:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
    int code = system(filenamez);  // Yes, system() is threadsafe
data/verilator-4.038/include/verilated.cpp:1904:13:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            fprintf(m_fp, memhFormat(m_bits), VL_MASK_I(m_bits) & *datap);
data/verilator-4.038/include/verilated.cpp:1912:13:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            fprintf(m_fp, memhFormat(m_bits), VL_MASK_I(m_bits) & *datap);
data/verilator-4.038/include/verilated.cpp:1920:13:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            fprintf(m_fp, memhFormat(m_bits), VL_MASK_I(m_bits) & *datap);
data/verilator-4.038/include/verilated.cpp:1931:13:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            fprintf(m_fp, memhFormat(m_bits - 32), hi);
data/verilator-4.038/include/verilated.cpp:1950:21:  [4] (format) fprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
                    fprintf(m_fp, memhFormat(top_word_nbits), data);
data/verilator-4.038/include/verilated.cpp:2278:5:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
    strcpy(strp, n1);
data/verilator-4.038/include/verilated.cpp:2279:14:  [4] (buffer) strcat:
  Does not check for buffer overflows when concatenating to destination
  [MS-banned] (CWE-120). Consider using strcat_s, strncat, strlcat, or
  snprintf (warning: strncat is easily misused).
    if (*n1) strcat(strp, delimiter);
data/verilator-4.038/include/verilated.cpp:2280:5:  [4] (buffer) strcat:
  Does not check for buffer overflows when concatenating to destination
  [MS-banned] (CWE-120). Consider using strcat_s, strncat, strlcat, or
  snprintf (warning: strncat is easily misused).
    strcat(strp, n2);
data/verilator-4.038/include/verilated.cpp:2609:5:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
    strcpy(namep, prefixp);
data/verilator-4.038/include/verilated.cpp:2611:5:  [4] (buffer) strcat:
  Does not check for buffer overflows when concatenating to destination
  [MS-banned] (CWE-120). Consider using strcat_s, strncat, strlcat, or
  snprintf (warning: strncat is easily misused).
    strcat(namep, suffixp);
data/verilator-4.038/include/verilated.h:291:20:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
# define VL_PRINTF printf  ///< Print ala printf, called from main thread; redefine if desired
data/verilator-4.038/include/verilated.h:294:21:  [4] (format) vprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
# define VL_VPRINTF vprintf  ///< Print ala vprintf, called from main thread; redefine if desired
data/verilator-4.038/include/verilated_trace_imp.cpp:72:5:  [4] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf.
    sprintf(valuestr, "%3.0f%s", value, suffixp);
data/verilator-4.038/include/verilated_vcd_c.cpp:503:14:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
        std::strcpy(entryp + !isBit, buf);  // Code (overwrite separator if isBit)
data/verilator-4.038/include/verilated_vpi.cpp:1955:17:  [4] (format) vfprintf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    int chars = vfprintf(fp, format, ap);
data/verilator-4.038/include/verilatedos.h:39:58:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
# define VL_ATTR_PRINTF(fmtArgNum) __attribute__((format(printf, (fmtArgNum), (fmtArgNum) + 1)))
data/verilator-4.038/include/verilatedos.h:348:23:  [4] (format) _snprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
#  define VL_SNPRINTF _snprintf
data/verilator-4.038/include/verilatedos.h:350:23:  [4] (format) snprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
#  define VL_SNPRINTF snprintf
data/verilator-4.038/include/verilatedos.h:352:23:  [4] (format) vsnprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
# define VL_VSNPRINTF vsnprintf
data/verilator-4.038/include/verilatedos.h:354:22:  [4] (format) snprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
# define VL_SNPRINTF snprintf
data/verilator-4.038/include/verilatedos.h:355:23:  [4] (format) vsnprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
# define VL_VSNPRINTF vsnprintf
data/verilator-4.038/src/V3File.cpp:525:13:  [4] (shell) execl:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
            execl("/bin/sh", "sh", "-c", command.c_str(), static_cast<char*>(NULL));
data/verilator-4.038/src/V3File.cpp:930:22:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
void V3OutFormatter::printf(const char* fmt...) {
data/verilator-4.038/src/V3File.cpp:934:5:  [4] (format) vsprintf:
  Potential format string problem (CWE-134). Make format string constant.
    vsprintf(sbuff, fmt, ap);
data/verilator-4.038/src/V3File.h:140:10:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    void printf(const char* fmt...) VL_ATTR_PRINTF(2);
data/verilator-4.038/src/V3Number.cpp:695:9:  [4] (format) sprintf:
  Potential format string problem (CWE-134). Make format string constant.
        sprintf(tmp, vformat.c_str(), toDouble());
data/verilator-4.038/src/V3Os.cpp:336:11:  [4] (shell) system:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
int V3Os::system(const string& command) {
data/verilator-4.038/src/V3Os.cpp:338:23:  [4] (shell) system:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
    const int ret = ::system(command.c_str());
data/verilator-4.038/src/V3Os.h:69:16:  [4] (shell) system:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
    static int system(const string& command);
data/verilator-4.038/src/Verilator.cpp:616:33:  [4] (shell) system:
  This causes a new program to execute and is difficult to use safely
  (CWE-78). try using a library call that implements the same functionality
  if available.
    const int exit_code = V3Os::system(cmdStr);
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.cpp:56:9:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        printf(__FILE__ ":%d Bad value\n", __LINE__); \
data/verilator-4.038/test_regress/t/t_dpi_arg_inout_type.cpp:64:13:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            printf(__FILE__ ":%d Bad svLogicVecVal bval\n", __LINE__);
data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.cpp:61:9:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        printf(__FILE__ ":%d Bad value\n", __LINE__); \
data/verilator-4.038/test_regress/t/t_dpi_arg_input_type.cpp:69:13:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            printf(__FILE__ ":%d Bad svLogicVecVal bval\n", __LINE__);
data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.cpp:559:9:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        printf(__FILE__ ":%d Bad value\n", __LINE__); \
data/verilator-4.038/test_regress/t/t_dpi_arg_output_type.cpp:567:13:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
            printf(__FILE__ ":%d Bad svLogicVecVal bval\n", __LINE__);
data/verilator-4.038/test_regress/t/t_dpi_display_c.cpp:35:20:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
# define VL_PRINTF printf
data/verilator-4.038/test_regress/t/t_dpi_result_type.cpp:278:9:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
        printf(__FILE__ ":%d Bad value\n", __LINE__); \
data/verilator-4.038/test_regress/t/t_leak.cpp:33:17:  [4] (buffer) fscanf:
  The scanf() family's %s operation, without a limit specification, permits
  buffer overflows (CWE-120, CWE-20). Specify a limit to %s, or use a
  different input function. If the scanf format is influenceable by an
  attacker, it's exploitable.
    int items = fscanf(fp, ("%d (%*[^) ]) %*1s %d %*d %*d %*d %*d %u"
data/verilator-4.038/test_regress/t/t_vpi_get.cpp:41:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_memory.cpp:41:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_module.cpp:41:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_param.cpp:41:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_time_cb.cpp:39:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_unimpl.cpp:29:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:41:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:471:21:  [4] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused).
                    strcpy(data[i].str, v.value.str);
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:529:13:  [4] (format) snprintf:
  If format strings can be influenced by an attacker, they can be exploited,
  and note that sprintf variations do not always \0-terminate (CWE-134). Use
  a constant for the format specification.
            snprintf(buf, sizeof(buf), TestSimulator::rooted("arr[%d].arr"), i);
data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.cpp:39:12:  [4] (format) printf:
  If format strings can be influenced by an attacker, they can be exploited
  (CWE-134). Use a constant for the format specification.
    if (0) printf
data/verilator-4.038/include/gtkwave/fstapi.c:218:27:  [3] (tmpfile) GetTempFileName:
  Temporary file race condition in certain cases (e.g., if run as SYSTEM in
  many versions of Windows) (CWE-377).
                uRetVal = GetTempFileName(lpTempPathBuffer, TEXT("FSTW"), 0, szTempFileName);
data/verilator-4.038/include/gtkwave/fstapi.c:306:8:  [3] (buffer) realpath:
  This function does not protect against buffer overflows, and some
  implementations can overflow internally (CWE-120/CWE-785!). Ensure that the
  destination buffer is at least of size MAXPATHLEN, andto protect against
  implementation problems, the input argument should also be checked to
  ensure it is no larger than MAXPATHLEN.
return(realpath(path, resolved_path));
data/verilator-4.038/include/verilated.cpp:282:13:  [3] (random) lrand48:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
    return (lrand48() << 16) ^ lrand48();
data/verilator-4.038/include/verilated.cpp:282:32:  [3] (random) lrand48:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
    return (lrand48() << 16) ^ lrand48();
data/verilator-4.038/include/verilated_vcd_c.cpp:355:30:  [3] (buffer) getenv:
  Environment variables are untrustable input if they can be set by an
  attacker. They can have any content and length, and the same variable can
  be set more than once (CWE-807, CWE-20). Check environment variables
  carefully before using them.
    if ((source_date_epoch = getenv("SOURCE_DATE_EPOCH")) == NULL || (time_str = (time_t)strtoull(source_date_epoch, NULL, 10)) <= 0)
data/verilator-4.038/src/V3Os.cpp:75:32:  [3] (buffer) getenv:
  Environment variables are untrustable input if they can be set by an
  attacker. They can have any content and length, and the same variable can
  be set more than once (CWE-807, CWE-20). Check environment variables
  carefully before using them.
    if (const char* envvalue = getenv(envvar.c_str())) {
data/verilator-4.038/src/V3Os.cpp:189:9:  [3] (buffer) realpath:
  This function does not protect against buffer overflows, and some
  implementations can overflow internally (CWE-120/CWE-785!). Ensure that the
  destination buffer is at least of size MAXPATHLEN, andto protect against
  implementation problems, the input argument should also be checked to
  ensure it is no larger than MAXPATHLEN.
        realpath(filename.c_str(), retpath)
data/verilator-4.038/src/Verilator.cpp:631:5:  [3] (random) srand:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
    srand(static_cast<int>(randseed));
data/verilator-4.038/test_regress/t/t_mem_slot.cpp:56:22:  [3] (random) random:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
        StepSim(sim, random() % 3, random() % 2, random() % 2, random() % 3);
data/verilator-4.038/test_regress/t/t_mem_slot.cpp:56:36:  [3] (random) random:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
        StepSim(sim, random() % 3, random() % 2, random() % 2, random() % 3);
data/verilator-4.038/test_regress/t/t_mem_slot.cpp:56:50:  [3] (random) random:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
        StepSim(sim, random() % 3, random() % 2, random() % 2, random() % 3);
data/verilator-4.038/test_regress/t/t_mem_slot.cpp:56:64:  [3] (random) random:
  This function is not sufficiently random for security-related functions
  such as key and nonce creation (CWE-327). Use a more secure technique for
  acquiring random values.
        StepSim(sim, random() % 3, random() % 2, random() % 2, random() % 3);
data/verilator-4.038/examples/make_protect_lib/sim_main.cpp:42:14:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        tfp->open("logs/vlt_dump.vcd");
data/verilator-4.038/examples/make_tracing_sc/sc_main.cpp:108:14:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        tfp->open("logs/vlt_dump.vcd");
data/verilator-4.038/include/gtkwave/fstapi.c:190:8:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
return(fopen(nam, mode));
data/verilator-4.038/include/gtkwave/fstapi.c:202:1:  [2] (buffer) TCHAR:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
TCHAR szTempFileName[MAX_PATH];
data/verilator-4.038/include/gtkwave/fstapi.c:203:1:  [2] (buffer) TCHAR:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
TCHAR lpTempPathBuffer[MAX_PATH];
data/verilator-4.038/include/gtkwave/fstapi.c:244:11:  [2] (tmpfile) tmpfile:
  Function tmpfile() has a security flaw on some systems (e.g., older System
  V systems) (CWE-377).
FILE *f = tmpfile(); /* replace with mkstemp() + fopen(), etc if this is not good enough */
data/verilator-4.038/include/gtkwave/fstapi.c:383:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[8];
data/verilator-4.038/include/gtkwave/fstapi.c:400:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[sizeof(uint64_t)];
data/verilator-4.038/include/gtkwave/fstapi.c:546:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[5];
data/verilator-4.038/include/gtkwave/fstapi.c:575:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[5];
data/verilator-4.038/include/gtkwave/fstapi.c:605:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[16];
data/verilator-4.038/include/gtkwave/fstapi.c:635:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[10]; /* ceil(64/7) = 10 */
data/verilator-4.038/include/gtkwave/fstapi.c:683:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char buf[15]; /* ceil(64/7) = 10 + sign byte padded way up */
data/verilator-4.038/include/gtkwave/fstapi.c:850:1:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
memcpy(pnt, u, sizeof(uint32_t));
data/verilator-4.038/include/gtkwave/fstapi.c:860:1:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
memcpy(pnt, dbuf, siz);
data/verilator-4.038/include/gtkwave/fstapi.c:877:1:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
memcpy(pnt, u, sizeof(uint32_t));
data/verilator-4.038/include/gtkwave/fstapi.c:896:1:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
memcpy(pnt, dbuf, siz);
data/verilator-4.038/include/gtkwave/fstapi.c:909:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char vbuf[FST_HDR_SIM_VERSION_SIZE];
data/verilator-4.038/include/gtkwave/fstapi.c:910:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char dbuf[FST_HDR_DATE_SIZE];
data/verilator-4.038/include/gtkwave/fstapi.c:1073:11:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
FILE *f = fopen("/proc/meminfo", "rb");
data/verilator-4.038/include/gtkwave/fstapi.c:1077:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char buf[257];
data/verilator-4.038/include/gtkwave/fstapi.c:1087:44:  [2] (integer) atol:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                                size_t v = atol(s+10);
data/verilator-4.038/include/gtkwave/fstapi.c:1172:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(hf, nam, flen);
data/verilator-4.038/include/gtkwave/fstapi.c:1173:9:  [2] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused). Risk is low because the source is a constant string.
        strcpy(hf + flen, ".hier");
data/verilator-4.038/include/gtkwave/fstapi.c:1401:41:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                        memcpy(scratchpnt, pnt, record_len);
data/verilator-4.038/include/gtkwave/fstapi.c:1412:25:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                        memcpy(xc->curval_mem + vm4ip[0], vchg_mem + offs + 4 + wrlen, vm4ip[1]); /* checkpoint variable */
data/verilator-4.038/include/gtkwave/fstapi.c:1467:41:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                        memcpy(scratchpnt, pnt, vm4ip[1]);
data/verilator-4.038/include/gtkwave/fstapi.c:1841:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(xc2, xc, sizeof(struct fstWriterContext));
data/verilator-4.038/include/gtkwave/fstapi.c:1844:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(xc2->valpos_mem, xc->valpos_mem, xc->maxhandle * 4 * sizeof(uint32_t));
data/verilator-4.038/include/gtkwave/fstapi.c:1849:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(xc2->curval_mem, xc->curval_mem, xc->maxvalpos);
data/verilator-4.038/include/gtkwave/fstapi.c:2180:25:  [2] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused). Risk is low because the source is a constant string.
                        strcpy(hf+flen, ".pak");
data/verilator-4.038/include/gtkwave/fstapi.c:2181:30:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
                        fp = fopen(hf, "wb");
data/verilator-4.038/include/gtkwave/fstapi.c:2187:33:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                char gz_membuf[FST_GZIO_LEN];
data/verilator-4.038/include/gtkwave/fstapi.c:2246:17:  [2] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused). Risk is low because the source is a constant string.
                strcpy(hf + flen, ".hier");
data/verilator-4.038/include/gtkwave/fstapi.c:2281:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char s[FST_HDR_DATE_SIZE];
data/verilator-4.038/include/gtkwave/fstapi.c:2287:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(s, dat, (len < FST_HDR_DATE_SIZE) ? len : FST_HDR_DATE_SIZE);
data/verilator-4.038/include/gtkwave/fstapi.c:2300:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char s[FST_HDR_SIM_VERSION_SIZE];
data/verilator-4.038/include/gtkwave/fstapi.c:2306:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(s, vers, (len < FST_HDR_SIM_VERSION_SIZE) ? len : FST_HDR_SIM_VERSION_SIZE);
data/verilator-4.038/include/gtkwave/fstapi.c:2339:18:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        unsigned char buf[11]; /* ceil(64/7) = 10 + null term */
data/verilator-4.038/include/gtkwave/fstapi.c:2478:18:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        int tv = atoi(s);
data/verilator-4.038/include/gtkwave/fstapi.c:2813:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char elem_count_buf[16];
data/verilator-4.038/include/gtkwave/fstapi.c:2853:2:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
	memcpy(attr_str+pos, name, name_len);
data/verilator-4.038/include/gtkwave/fstapi.c:2857:2:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
	memcpy(attr_str+pos, elem_count_buf, elem_count_len);
data/verilator-4.038/include/gtkwave/fstapi.c:2960:41:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                        memcpy(old_value, buf, len); /* overlay new value */
data/verilator-4.038/include/gtkwave/fstapi.c:2962:41:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                        memcpy(xc->curval_mem + offs, buf, len);
data/verilator-4.038/include/gtkwave/fstapi.c:2986:33:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                memcpy(xc->curval_mem + offs, buf, len);
data/verilator-4.038/include/gtkwave/fstapi.c:3024:25:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                        memcpy(xc->curval_mem + offs, buf, len);
data/verilator-4.038/include/gtkwave/fstapi.c:3032:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char buf[32];
data/verilator-4.038/include/gtkwave/fstapi.c:3043:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char buf[64];
data/verilator-4.038/include/gtkwave/fstapi.c:3357:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char version[FST_HDR_SIM_VERSION_SIZE + 1];
data/verilator-4.038/include/gtkwave/fstapi.c:3358:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char date[FST_HDR_DATE_SIZE + 1];
data/verilator-4.038/include/gtkwave/fstapi.c:3401:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char str_scope_nam[FST_ID_NAM_SIZ+1];
data/verilator-4.038/include/gtkwave/fstapi.c:3402:1:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
char str_scope_comp[FST_ID_NAM_SIZ+1];
data/verilator-4.038/include/gtkwave/fstapi.c:3411:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char writex_buf[FST_WRITEX_MAX];
data/verilator-4.038/include/gtkwave/fstapi.c:3450:17:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                memcpy(xc->writex_buf + xc->writex_pos, s, len);
data/verilator-4.038/include/gtkwave/fstapi.c:3967:18:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        xc->fh = fopen(fnam, "w+b");
data/verilator-4.038/include/gtkwave/fstapi.c:4281:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char time_dimension[2] = {0, 0};
data/verilator-4.038/include/gtkwave/fstapi.c:4370:39:  [2] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused). Risk is low because the source is a constant string.
                        if(!str[0]) { strcpy(str, "\"\""); }
data/verilator-4.038/include/gtkwave/fstapi.c:4481:41:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                        char vcdid_buf[16];
data/verilator-4.038/include/gtkwave/fstapi.c:4497:41:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                        char vcdid_buf[16];
data/verilator-4.038/include/gtkwave/fstapi.c:4550:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char gz_membuf[FST_GZIO_LEN];
data/verilator-4.038/include/gtkwave/fstapi.c:4564:17:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        fcomp = fopen(hf, "w+b");
data/verilator-4.038/include/gtkwave/fstapi.c:4670:58:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                unsigned char rvs_buf[8];
data/verilator-4.038/include/gtkwave/fstapi.c:4864:21:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
if((!nam)||(!(xc->f=fopen(nam, "rb"))))
data/verilator-4.038/include/gtkwave/fstapi.c:4879:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(hf, nam, flen);
data/verilator-4.038/include/gtkwave/fstapi.c:4880:9:  [2] (buffer) strcpy:
  Does not check for buffer overflows when copying to destination [MS-banned]
  (CWE-120). Consider using snprintf, strcpy_s, or strlcpy (warning: strncpy
  easily misused). Risk is low because the source is a constant string.
        strcpy(hf + flen, ".hier");
data/verilator-4.038/include/gtkwave/fstapi.c:4881:18:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        xc->fh = fopen(hf, "rb");
data/verilator-4.038/include/gtkwave/fstapi.c:5159:33:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                char wx_buf[32];
data/verilator-4.038/include/gtkwave/fstapi.c:5164:41:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
					if(dumpvars_state == 1) { wx_len = sprintf(wx_buf, "$end\n"); fstWritex(xc, wx_buf, wx_len); dumpvars_state = 2; }
data/verilator-4.038/include/gtkwave/fstapi.c:5165:50:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                                        wx_len = sprintf(wx_buf, "#%" PRIu64 "\n", beg_tim);
data/verilator-4.038/include/gtkwave/fstapi.c:5167:37:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
					if(!dumpvars_state) { wx_len = sprintf(wx_buf, "$dumpvars\n"); fstWritex(xc, wx_buf, wx_len); dumpvars_state = 1; }
data/verilator-4.038/include/gtkwave/fstapi.c:5224:73:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                                        char vcd_id[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5244:65:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                                memcpy(xc->temp_signal_value_buf, mu+sig_offs, xc->signal_lens[idx]);
data/verilator-4.038/include/gtkwave/fstapi.c:5252:73:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                                        char vcd_id[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5296:81:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                                                memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:5307:73:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                                                                        sprintf((char *)xc->temp_signal_value_buf, "%.16g", d);
data/verilator-4.038/include/gtkwave/fstapi.c:5315:73:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                                        char vcdid_buf[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5316:73:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                                        char wx_buf[64];
data/verilator-4.038/include/gtkwave/fstapi.c:5322:81:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                                                memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:5587:25:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                        char wx_buf[32];
data/verilator-4.038/include/gtkwave/fstapi.c:5600:40:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
				if(dumpvars_state == 1) { wx_len = sprintf(wx_buf, "$end\n"); fstWritex(xc, wx_buf, wx_len); dumpvars_state = 2; }
data/verilator-4.038/include/gtkwave/fstapi.c:5601:42:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                                wx_len = sprintf(wx_buf, "#%" PRIu64 "\n", time_table[i]);
data/verilator-4.038/include/gtkwave/fstapi.c:5603:36:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
				if(!dumpvars_state) { wx_len = sprintf(wx_buf, "$dumpvars\n"); fstWritex(xc, wx_buf, wx_len); dumpvars_state = 1; }
data/verilator-4.038/include/gtkwave/fstapi.c:5648:57:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                        char vcd_id[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5694:65:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                                char vcd_id[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5779:57:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                        memcpy(xc->temp_signal_value_buf, vdata, len);
data/verilator-4.038/include/gtkwave/fstapi.c:5799:50:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                        unsigned char buf[8];
data/verilator-4.038/include/gtkwave/fstapi.c:5848:65:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                                memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:5859:57:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                                                        sprintf((char *)xc->temp_signal_value_buf, "%.16g", d);
data/verilator-4.038/include/gtkwave/fstapi.c:5867:57:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                                        char wx_buf[32];
data/verilator-4.038/include/gtkwave/fstapi.c:5873:65:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                                                memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:5885:66:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                                                        wx_len = sprintf(wx_buf, "r%.16g", d);
data/verilator-4.038/include/gtkwave/fstapi.c:5893:41:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                                        char vcd_id[16];
data/verilator-4.038/include/gtkwave/fstapi.c:5968:17:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                memcpy(buf, xc->rvat_frame_data + xc->rvat_sig_offs[facidx], xc->signal_lens[facidx]);
data/verilator-4.038/include/gtkwave/fstapi.c:5979:25:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                        memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:5991:17:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                sprintf((char *)buf, "%.16g", d);
data/verilator-4.038/include/gtkwave/fstapi.c:6537:33:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                memcpy(buf, vdata, xc->signal_lens[facidx]);
data/verilator-4.038/include/gtkwave/fstapi.c:6546:34:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                        unsigned char bufd[8];
data/verilator-4.038/include/gtkwave/fstapi.c:6571:33:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                                memcpy(clone_d, srcdata, 8);
data/verilator-4.038/include/gtkwave/fstapi.c:6583:25:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                        sprintf(buf, "r%.16g", d);
data/verilator-4.038/include/gtkwave/fstapi.c:6730:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char mem[1];
data/verilator-4.038/include/gtkwave/fstapi.c:6767:1:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
memcpy(chain->mem, mem, length);
data/verilator-4.038/include/gtkwave/fstapi.c:6898:10:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
unsigned char val[3];
data/verilator-4.038/include/gtkwave/fstapi.c:6962:12:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
	int cnt = atoi(csp+1);
data/verilator-4.038/include/gtkwave/lz4.c:150:5:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
    memcpy(&val16, memPtr, 2);
data/verilator-4.038/include/gtkwave/lz4.c:171:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(memPtr, &value, 2);
data/verilator-4.038/include/gtkwave/lz4.c:184:5:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
    memcpy(&val32, memPtr, 4);
data/verilator-4.038/include/gtkwave/lz4.c:191:5:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
    memcpy(&val64, memPtr, 8);
data/verilator-4.038/include/gtkwave/lz4.c:204:59:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
static void LZ4_copy4(void* dstPtr, const void* srcPtr) { memcpy(dstPtr, srcPtr, 4); }
data/verilator-4.038/include/gtkwave/lz4.c:206:59:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
static void LZ4_copy8(void* dstPtr, const void* srcPtr) { memcpy(dstPtr, srcPtr, 8); }
data/verilator-4.038/include/gtkwave/lz4.c:653:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(op, anchor, lastRun);
data/verilator-4.038/include/gtkwave/lz4.c:889:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(op, anchor, lastRunSize);
data/verilator-4.038/include/gtkwave/lz4.c:1191:13:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
            memcpy(op, ip, length);
data/verilator-4.038/include/gtkwave/lz4.c:1233:17:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                memcpy(op, dictEnd - copySize, copySize);
data/verilator-4.038/include/gtkwave/lz4.c:1244:21:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
                    memcpy(op, lowPrefix, copySize);
data/verilator-4.038/include/verilated.cpp:50:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char vluint8_incorrect[(sizeof(vluint8_t) == 1) ? 1 : -1];
data/verilator-4.038/include/verilated.cpp:52:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char vluint16_incorrect[(sizeof(vluint16_t) == 2) ? 1 : -1];
data/verilator-4.038/include/verilated.cpp:54:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char vluint32_incorrect[(sizeof(vluint32_t) == 4) ? 1 : -1];
data/verilator-4.038/include/verilated.cpp:56:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char vluint64_incorrect[(sizeof(vluint64_t) == 8) ? 1 : -1];
data/verilator-4.038/include/verilated.cpp:665:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char tmp[VL_VALUE_STRING_MAX_WIDTH];
data/verilator-4.038/include/verilated.cpp:791:34:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                        digits = sprintf(tmp, "%" VL_PRI64 "d",
data/verilator-4.038/include/verilated.cpp:820:34:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                        digits = sprintf(tmp, "%" VL_PRI64 "u", ld);
data/verilator-4.038/include/verilated.cpp:1013:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char tmp[VL_VALUE_STRING_MAX_WIDTH];
data/verilator-4.038/include/verilated.cpp:1235:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buffer[VL_TO_STRING_MAX_WORDS * VL_EDATASIZE + 1];
data/verilator-4.038/include/verilated.cpp:1486:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char filenamez[VL_TO_STRING_MAX_WORDS * VL_EDATASIZE + 1];
data/verilator-4.038/include/verilated.cpp:1599:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char outstr[VL_VALUE_STRING_MAX_WIDTH];
data/verilator-4.038/include/verilated.cpp:1631:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char destout[VL_TO_STRING_MAX_WORDS * VL_EDATASIZE + 1];
data/verilator-4.038/include/verilated.cpp:1710:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char buf[32];
data/verilator-4.038/include/verilated.cpp:1728:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char buf[64];
data/verilator-4.038/include/verilated.cpp:1744:12:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    m_fp = fopen(filename.c_str(), "r");
data/verilator-4.038/include/verilated.cpp:1882:12:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    m_fp = fopen(filename.c_str(), "w");
data/verilator-4.038/include/verilated.cpp:2350:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char outstr[VL_VALUE_STRING_MAX_WIDTH];
data/verilator-4.038/include/verilated.cpp:2471:30:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            Verilated::debug(atoi(value.c_str()));
data/verilator-4.038/include/verilated.cpp:2473:35:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            Verilated::errorLimit(atoi(value.c_str()));
data/verilator-4.038/include/verilated.cpp:2482:42:  [2] (integer) atol:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            Verilated::profThreadsWindow(atol(value.c_str()));
data/verilator-4.038/include/verilated.cpp:2486:34:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            Verilated::randReset(atoi(value.c_str()));
data/verilator-4.038/include/verilated.cpp:2488:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            Verilated::randSeed(atoi(value.c_str()));
data/verilator-4.038/include/verilated_cov.cpp:139:17:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                char hex[10];
data/verilator-4.038/include/verilated_cov.cpp:140:17:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                sprintf(hex, "%%%02X", pos[0]);
data/verilator-4.038/include/verilated_fst_c.cpp:71:20:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
void VerilatedFst::open(const char* filename) VL_MT_UNSAFE {
data/verilator-4.038/include/verilated_fst_c.cpp:217:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[VL_BYTESIZE];
data/verilator-4.038/include/verilated_fst_c.cpp:224:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[VL_SHORTSIZE];
data/verilator-4.038/include/verilated_fst_c.cpp:231:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[VL_IDATASIZE];
data/verilator-4.038/include/verilated_fst_c.cpp:238:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[VL_QUADSIZE];
data/verilator-4.038/include/verilated_fst_c.h:90:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE;
data/verilator-4.038/include/verilated_fst_c.h:149:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE_ONE { m_sptrace.open(filename); }
data/verilator-4.038/include/verilated_fst_c.h:149:66:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE_ONE { m_sptrace.open(filename); }
data/verilator-4.038/include/verilated_imp.h:479:27:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        s_s.m_fdps[idx] = fopen(filenamep, "w");
data/verilator-4.038/include/verilated_imp.h:484:20:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        FILE* fp = fopen(filenamep, modep);
data/verilator-4.038/include/verilated_save.cpp:121:21:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
void VerilatedSave::open(const char* filenamep) VL_MT_UNSAFE_ONE {
data/verilator-4.038/include/verilated_save.cpp:130:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        m_fd = ::open(filenamep,
data/verilator-4.038/include/verilated_save.cpp:144:24:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
void VerilatedRestore::open(const char* filenamep) VL_MT_UNSAFE_ONE {
data/verilator-4.038/include/verilated_save.cpp:153:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        m_fd = ::open(filenamep, O_CREAT | O_RDONLY | O_LARGEFILE | O_CLOEXEC, 0666);
data/verilator-4.038/include/verilated_save.h:171:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filenamep) VL_MT_UNSAFE_ONE;
data/verilator-4.038/include/verilated_save.h:172:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const std::string& filename) VL_MT_UNSAFE_ONE { open(filename.c_str()); }
data/verilator-4.038/include/verilated_save.h:172:63:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const std::string& filename) VL_MT_UNSAFE_ONE { open(filename.c_str()); }
data/verilator-4.038/include/verilated_save.h:193:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filenamep) VL_MT_UNSAFE_ONE;
data/verilator-4.038/include/verilated_save.h:194:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const std::string& filename) VL_MT_UNSAFE_ONE { open(filename.c_str()); }
data/verilator-4.038/include/verilated_save.h:194:63:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const std::string& filename) VL_MT_UNSAFE_ONE { open(filename.c_str()); }
data/verilator-4.038/include/verilated_threads.cpp:140:16:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    FILE* fp = fopen(filenamep, "w");
data/verilator-4.038/include/verilated_trace_imp.cpp:71:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char valuestr[100];
data/verilator-4.038/include/verilated_vcd_c.cpp:74:24:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
bool VerilatedVcdFile::open(const std::string& name) VL_MT_UNSAFE {
data/verilator-4.038/include/verilated_vcd_c.cpp:75:14:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    m_fd = ::open(name.c_str(),
data/verilator-4.038/include/verilated_vcd_c.cpp:108:20:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
void VerilatedVcd::open(const char* filename) {
data/verilator-4.038/include/verilated_vcd_c.cpp:160:23:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        if (!m_filep->open(m_filename)) {
data/verilator-4.038/include/verilated_vcd_c.cpp:274:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[100];
data/verilator-4.038/include/verilated_vcd_c.cpp:275:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(buf, "%" VL_PRI64 "u", n);
data/verilator-4.038/include/verilated_vcd_c.cpp:286:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(m_wrBufp, oldbufp, m_writep - oldbufp);
data/verilator-4.038/include/verilated_vcd_c.cpp:485:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[1000];
data/verilator-4.038/include/verilated_vcd_c.cpp:486:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(buf, " %2d ", bits);
data/verilator-4.038/include/verilated_vcd_c.cpp:489:9:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
        sprintf(buf, "<%u", code);
data/verilator-4.038/include/verilated_vcd_c.cpp:511:9:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
        sprintf(buf, "(%d)", arraynum);
data/verilator-4.038/include/verilated_vcd_c.cpp:516:9:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
        sprintf(buf, " [%d:%d]", msb, lsb);
data/verilator-4.038/include/verilated_vcd_c.cpp:655:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(wp, "r%.16g", newval);
data/verilator-4.038/include/verilated_vcd_c.cpp:769:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(m_writep, "r%.16g", newval);
data/verilator-4.038/include/verilated_vcd_c.cpp:866:15:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        vcdp->open(filenamep);
data/verilator-4.038/include/verilated_vcd_c.h:45:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    virtual bool open(const std::string& name) VL_MT_UNSAFE;
data/verilator-4.038/include/verilated_vcd_c.h:145:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE_ONE;
data/verilator-4.038/include/verilated_vcd_c.h:354:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE_ONE { m_sptrace.open(filename); }
data/verilator-4.038/include/verilated_vcd_c.h:354:66:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    void open(const char* filename) VL_MT_UNSAFE_ONE { m_sptrace.open(filename); }
data/verilator-4.038/include/verilated_vpi.cpp:267:13:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
            memcpy(prevDatap(), varp()->datap(), entSize());
data/verilator-4.038/include/verilated_vpi.cpp:289:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char num[20];
data/verilator-4.038/include/verilated_vpi.cpp:290:9:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
        sprintf(num, "%d", m_index);
data/verilator-4.038/include/verilated_vpi.cpp:522:13:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
            memcpy((*it)->prevDatap(), (*it)->varDatap(), (*it)->entSize());
data/verilator-4.038/include/verilated_vpi.cpp:534:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char m_buff[VL_VPI_LINE_SIZE];
data/verilator-4.038/include/verilated_vpi.cpp:1419:28:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static VL_THREAD_LOCAL char outStr[1 + VL_MULS_MAX_WORDS * 32];
data/verilator-4.038/include/verilated_vpi.cpp:1716:21:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                    char byte[2];
data/verilator-4.038/include/verilated_vpi.cpp:1758:13:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
            char remainder[16];
data/verilator-4.038/src/V3Ast.cpp:122:13:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
            char hex[10];
data/verilator-4.038/src/V3Ast.cpp:123:13:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
            sprintf(hex, "__0%02X", val);
data/verilator-4.038/src/V3File.cpp:363:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        int fd = open(filename.c_str(), O_RDONLY);
data/verilator-4.038/src/V3File.cpp:405:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char buf[INFILTER_IPC_BUFSIZ];
data/verilator-4.038/src/V3File.cpp:649:12:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static char str[MAXSPACE + 20];
data/verilator-4.038/src/V3File.cpp:897:17:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                char decimal[10];
data/verilator-4.038/src/V3File.cpp:898:17:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                sprintf(decimal, "&#%u;", (unsigned char)pos[0]);
data/verilator-4.038/src/V3File.cpp:918:17:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
                char octal[10];
data/verilator-4.038/src/V3File.cpp:919:17:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
                sprintf(octal, "\\%o%o%o", (pos[0] >> 6) & 3, (pos[0] >> 3) & 7, pos[0] & 7);
data/verilator-4.038/src/V3File.cpp:931:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char sbuff[5000];
data/verilator-4.038/src/V3File.h:58:16:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        return fopen(filename.c_str(), "w");
data/verilator-4.038/src/V3FileLine.cpp:41:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char out[size];
data/verilator-4.038/src/V3FileLine.cpp:175:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char numbuf[20];
data/verilator-4.038/src/V3FileLine.cpp:176:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(numbuf, "%d", lastLineno());
data/verilator-4.038/src/V3FileLine.cpp:177:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char levelbuf[20];
data/verilator-4.038/src/V3FileLine.cpp:178:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(levelbuf, "%d", enterExit);
data/verilator-4.038/src/V3FileLine.cpp:197:16:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        lineno(atoi(ln));
data/verilator-4.038/src/V3FileLine.cpp:219:24:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        enterExitRef = atoi(textp);
data/verilator-4.038/src/V3Global.h:125:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char digits[100];
data/verilator-4.038/src/V3Global.h:126:9:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
        sprintf(digits, "%03d", m_debugFileNumber);
data/verilator-4.038/src/V3Number.cpp:145:13:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        if (atoi(widthn.c_str())) {
data/verilator-4.038/src/V3Number.cpp:146:17:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            if (atoi(widthn.c_str()) < 0 || atoi(widthn.c_str()) > v3Global.opt.maxNumWidth()) {
data/verilator-4.038/src/V3Number.cpp:146:45:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            if (atoi(widthn.c_str()) < 0 || atoi(widthn.c_str()) > v3Global.opt.maxNumWidth()) {
data/verilator-4.038/src/V3Number.cpp:152:23:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                width(atoi(widthn.c_str()), true);
data/verilator-4.038/src/V3Number.cpp:633:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char strc[2];
data/verilator-4.038/src/V3Number.cpp:653:47:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        size_t fmtsizen = static_cast<size_t>(atoi(fmtsize.c_str()));
data/verilator-4.038/src/V3Number.cpp:686:47:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        size_t fmtsizen = static_cast<size_t>(atoi(fmtsize.c_str()));
data/verilator-4.038/src/V3Number.cpp:694:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char tmp[MAX_SPRINTF_DOUBLE_SIZE];
data/verilator-4.038/src/V3Number.cpp:739:47:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
        size_t fmtsizen = static_cast<size_t>(atoi(fmtsize.c_str()));
data/verilator-4.038/src/V3Options.cpp:334:27:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    } else if (int fd = ::open(filename.c_str(), O_RDONLY)) {  // LCOV_EXCL_BR_LINE
data/verilator-4.038/src/V3Options.cpp:1034:37:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_compLimitBlocks = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1038:23:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                    = atoi(argv[i]);  // Ideally power-of-two so structs stay aligned
data/verilator-4.038/src/V3Options.cpp:1041:37:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_compLimitParens = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1044:34:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                VName::maxLength(atoi(argv[i]));
data/verilator-4.038/src/V3Options.cpp:1047:35:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_convergeLimit = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1054:30:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                setDebugMode(atoi(argv[i]));
data/verilator-4.038/src/V3Options.cpp:1058:39:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                setDebugSrcLevel(src, atoi(argv[i]));
data/verilator-4.038/src/V3Options.cpp:1061:30:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_dumpTree = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1065:39:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                setDumpTreeLevel(src, atoi(argv[i]));
data/verilator-4.038/src/V3Options.cpp:1068:37:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                V3Error::errorLimit(atoi(argv[i]));
data/verilator-4.038/src/V3Options.cpp:1076:31:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_gateStmts = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1088:29:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_ifDepth = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1091:32:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_inlineMult = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1097:35:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                    m_buildJobs = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1125:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_maxNumWidth = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1146:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_outputSplit = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1149:39:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_outputSplitCFuncs = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1155:39:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_outputSplitCTrace = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1177:34:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_traceThreads = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1181:32:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_traceDepth = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1184:35:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_traceMaxArray = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1187:35:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_traceMaxWidth = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1192:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_unrollCount = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1195:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_unrollStmts = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1299:28:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_pinsBv = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1315:29:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_threads = atoi(argv[i]);
data/verilator-4.038/src/V3Options.cpp:1333:38:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_threadsMaxMTasks = atoi(argv[i]);
data/verilator-4.038/src/V3Os.cpp:184:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char retpath[PATH_MAX];
data/verilator-4.038/src/V3Os.cpp:208:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char buf[65536];
data/verilator-4.038/src/V3Os.cpp:310:16:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    FILE* fp = fopen(statmFilename, "r");
data/verilator-4.038/src/V3ParseGrammar.cpp:50:16:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        static char ch[2];
data/verilator-4.038/src/V3ParseImp.cpp:239:9:  [2] (buffer) memcpy:
  Does not check for buffer overflows when copying to destination (CWE-120).
  Make sure destination can always hold the source data.
        memcpy(buf + got, front.c_str(), len);
data/verilator-4.038/src/V3StatsReport.cpp:216:5:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    char digits[100];
data/verilator-4.038/src/V3StatsReport.cpp:217:5:  [2] (buffer) sprintf:
  Does not check for buffer overflows (CWE-120). Use sprintf_s, snprintf, or
  vsnprintf. Risk is low because the source has a constant maximum length.
    sprintf(digits, "%03d", ++fileNumber);
data/verilator-4.038/src/V3String.cpp:292:18:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static const char digits[16 + 1] = "0123456789abcdef";
data/verilator-4.038/src/V3String.cpp:308:18:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static const char digits[64 + 1]
data/verilator-4.038/src/VlcMain.cpp:93:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                m_annotateMin = atoi(argv[i]);
data/verilator-4.038/src/VlcMain.cpp:101:39:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                V3Error::debugDefault(atoi(argv[i]));
data/verilator-4.038/src/VlcPoint.h:62:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
    int lineno() const { return atoi(keyExtract(VL_CIK_LINENO).c_str()); }
data/verilator-4.038/src/VlcPoint.h:63:33:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
    int column() const { return atoi(keyExtract(VL_CIK_COLUMN).c_str()); }
data/verilator-4.038/src/VlcTop.cpp:208:54:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
            unsigned thresh = (!threshStr.empty()) ? atoi(threshStr.c_str()) : opt.annotateMin();
data/verilator-4.038/src/VlcTop.cpp:234:41:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                    if (!range) start = atoi(digitsp);
data/verilator-4.038/src/VlcTop.cpp:235:27:  [2] (integer) atoi:
  Unless checked, the resulting number can exceed the expected range
  (CWE-190). If source untrusted, check both minimum and maximum, even if the
  input had no minus sign (large numbers can roll over into negative number;
  consider saving to an unsigned value if that is intended).
                    end = atoi(digitsp);
data/verilator-4.038/test_regress/t/t_leak.cpp:28:16:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    FILE* fp = fopen("/proc/self/stat", "r");
data/verilator-4.038/test_regress/t/t_order_multidriven.cpp:49:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    vcd->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_scope_map.cpp:30:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_trace_cat.cpp:18:12:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
    static char name[1000];
data/verilator-4.038/test_regress/t/t_trace_cat.cpp:32:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(trace_name());
data/verilator-4.038/test_regress/t/t_trace_cat.cpp:45:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
            tfp->open(trace_name());
data/verilator-4.038/test_regress/t/t_trace_cat.cpp:51:18:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
            tfp->open(trace_name());
data/verilator-4.038/test_regress/t/t_trace_public_func.cpp:34:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_trace_public_sig.cpp:34:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_trace_two_cc.cpp:48:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.fst");
data/verilator-4.038/test_regress/t/t_trace_two_cc.cpp:53:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_trace_two_sc.cpp:47:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_get.cpp:254:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_memory.cpp:244:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_module.cpp:150:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_param.cpp:246:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_time_cb.cpp:211:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_unimpl.cpp:187:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:101:20:  [2] (misc) fopen:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
        FILE* fp = fopen(filename, "r");
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:437:9:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
        char str[128 + 1];  // char per bit plus null terminator
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:528:13:  [2] (buffer) char:
  Statically-sized arrays can be improperly restricted, leading to potential
  overflows or other issues (CWE-119!/CWE-120). Perform bounds checking, use
  functions that limit length, or ensure that the size is larger than the
  maximum possible length.
            char buf[32];
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:640:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/test_regress/t/t_vpi_zero_time_cb.cpp:161:10:  [2] (misc) open:
  Check when opening files - can an attacker redirect it (via symlinks),
  force the opening of special file type (e.g., device files), move things
  around to create a race condition, control its ancestors, or change its
  contents? (CWE-362).
    tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simx.vcd");
data/verilator-4.038/include/gtkwave/fstapi.c:343:9:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        read(__fd, pnt + i, ((__len - i) >= SSIZE_MAX) ? SSIZE_MAX : (__len - i));
data/verilator-4.038/include/gtkwave/fstapi.c:553:14:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        ch = fgetc(f);
data/verilator-4.038/include/gtkwave/fstapi.c:582:14:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        ch = fgetc(f);
data/verilator-4.038/include/gtkwave/fstapi.c:612:14:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        ch = fgetc(f);
data/verilator-4.038/include/gtkwave/fstapi.c:1169:20:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int flen = strlen(nam);
data/verilator-4.038/include/gtkwave/fstapi.c:2057:45:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                char *fnam = (char *)malloc(strlen(xc->filename) + 5 + 1);
data/verilator-4.038/include/gtkwave/fstapi.c:2176:36:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                        int flen = strlen(xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:2240:20:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int flen = strlen(xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:2283:19:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int len = strlen(dat);
data/verilator-4.038/include/gtkwave/fstapi.c:2302:19:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int len = strlen(vers);
data/verilator-4.038/include/gtkwave/fstapi.c:2378:20:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int slen = strlen(path);
data/verilator-4.038/include/gtkwave/fstapi.c:2632:16:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        nlen = strlen(nam);
data/verilator-4.038/include/gtkwave/fstapi.c:2732:38:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                xc->hier_file_len += strlen(scopename);
data/verilator-4.038/include/gtkwave/fstapi.c:2736:38:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                xc->hier_file_len += strlen(scopecomp);
data/verilator-4.038/include/gtkwave/fstapi.c:2784:38:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                xc->hier_file_len += strlen(attrname);
data/verilator-4.038/include/gtkwave/fstapi.c:2825:13:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
	name_len = strlen(name);
data/verilator-4.038/include/gtkwave/fstapi.c:2833:21:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
		literal_lens[i] = strlen(literal_arr[i]);
data/verilator-4.038/include/gtkwave/fstapi.c:2836:18:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
		val_lens[i] =  strlen(val_arr[i]);
data/verilator-4.038/include/gtkwave/fstapi.c:3557:29:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int len = chl + 1 + strlen(nam);
data/verilator-4.038/include/gtkwave/fstapi.c:3913:37:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        char *fnam = (char *)malloc(strlen(xc->filename) + 6 + 16 + 32 + 1);
data/verilator-4.038/include/gtkwave/fstapi.c:4120:19:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int tag = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4125:48:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        xc->hier.u.scope.typ = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4127:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4135:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4149:47:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        xc->hier.u.attr.typ = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4150:51:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        xc->hier.u.attr.subtype = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4152:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4210:52:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        xc->hier.u.var.direction = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4212:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4339:19:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int tag = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4343:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        scopetype = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4346:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4351:31:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while(fgetc(xc->fh)) { }; /* scopecomp */
data/verilator-4.038/include/gtkwave/fstapi.c:4361:36:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        attrtype = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4362:35:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        subtype = fgetc(xc->fh);
data/verilator-4.038/include/gtkwave/fstapi.c:4364:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4447:40:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        /* vardir = */ fgetc(xc->fh); /* unused in VCD reader, but need to advance read pointer */
data/verilator-4.038/include/gtkwave/fstapi.c:4449:37:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        while((ch = fgetc(xc->fh)))
data/verilator-4.038/include/gtkwave/fstapi.c:4545:11:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
sectype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:4553:20:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int flen = strlen(xc->filename);
data/verilator-4.038/include/gtkwave/fstapi.c:4634:27:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                sectype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:4695:38:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                                ch = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:4701:38:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                                ch = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:4823:60:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                                xc->blackout_activity[i] = fgetc(xc->f) != 0;
data/verilator-4.038/include/gtkwave/fstapi.c:4871:20:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        int flen = strlen(nam);
data/verilator-4.038/include/gtkwave/fstapi.c:5032:19:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        sectype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:5355:20:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        packtype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:6053:19:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        sectype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:6078:35:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                        sectype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:6203:21:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
xc->rvat_packtype = fgetc(xc->f);
data/verilator-4.038/include/gtkwave/fstapi.c:6992:74:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
			newlen = fstUtilityEscToBin(NULL, (unsigned char*)et->literal_arr[i], strlen(et->literal_arr[i]));
data/verilator-4.038/include/gtkwave/fstapi.c:7003:70:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
			newlen = fstUtilityEscToBin(NULL, (unsigned char*)et->val_arr[i], strlen(et->val_arr[i]));
data/verilator-4.038/include/verilated.cpp:910:9:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        fgetc(fp);
data/verilator-4.038/include/verilated.cpp:919:20:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int data = fgetc(fp);
data/verilator-4.038/include/verilated.cpp:1069:50:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    int lpos = (static_cast<int>(strlen(tmp))) - 1;
data/verilator-4.038/include/verilated.cpp:1115:59:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    _vl_vsss_based(owp, obits, 1, tmp, 0, strlen(tmp));
data/verilator-4.038/include/verilated.cpp:1122:59:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    _vl_vsss_based(owp, obits, 3, tmp, 0, strlen(tmp));
data/verilator-4.038/include/verilated.cpp:1129:59:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    _vl_vsss_based(owp, obits, 4, tmp, 0, strlen(tmp));
data/verilator-4.038/include/verilated.cpp:1245:17:  [1] (buffer) getc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int c = getc(fp);  // getc() is threadsafe
data/verilator-4.038/include/verilated.cpp:1443:17:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int c = fgetc(fp);
data/verilator-4.038/include/verilated.cpp:1533:52:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    case 'b': _vl_vsss_based(rwp, rbits, 1, dp, 0, strlen(dp)); break;
data/verilator-4.038/include/verilated.cpp:1534:52:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    case 'o': _vl_vsss_based(rwp, rbits, 3, dp, 0, strlen(dp)); break;
data/verilator-4.038/include/verilated.cpp:1536:52:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    case 'x': _vl_vsss_based(rwp, rbits, 4, dp, 0, strlen(dp)); break;
data/verilator-4.038/include/verilated.cpp:1538:58:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        for (int i = 0, lsb = 0, posp = static_cast<int>(strlen(dp)) - 1; i < rbits && posp >= 0;
data/verilator-4.038/include/verilated.cpp:1602:5:  [1] (buffer) strncat:
  Easily used incorrectly (e.g., incorrectly computing the correct maximum
  size to add) [MS-banned] (CWE-120). Consider strcat_s, strlcat, snprintf,
  or automatically resizing strings.
    strncat(outstr, match.c_str() + strlen(prefixp) + 1,  // +1 to skip the "+"
data/verilator-4.038/include/verilated.cpp:1602:37:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    strncat(outstr, match.c_str() + strlen(prefixp) + 1,  // +1 to skip the "+"
data/verilator-4.038/include/verilated.cpp:1771:17:  [1] (buffer) fgetc:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        int c = fgetc(m_fp);
data/verilator-4.038/include/verilated.cpp:2272:21:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    size_t newlen = strlen(n1) + strlen(n2) + strlen(delimiter) + 1;
data/verilator-4.038/include/verilated.cpp:2272:34:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    size_t newlen = strlen(n1) + strlen(n2) + strlen(delimiter) + 1;
data/verilator-4.038/include/verilated.cpp:2272:47:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    size_t newlen = strlen(n1) + strlen(n2) + strlen(delimiter) + 1;
data/verilator-4.038/include/verilated.cpp:2466:50:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (0 == strncmp(arg.c_str(), "+verilator+", strlen("+verilator+"))) {
data/verilator-4.038/include/verilated.cpp:2608:28:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    char* namep = new char[strlen(prefixp) + strlen(suffixp) + 2];
data/verilator-4.038/include/verilated.cpp:2608:46:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    char* namep = new char[strlen(prefixp) + strlen(suffixp) + 2];
data/verilator-4.038/include/verilated.cpp:2610:31:  [1] (buffer) strcat:
  Does not check for buffer overflows when concatenating to destination
  [MS-banned] (CWE-120). Consider using strcat_s, strncat, strlcat, or
  snprintf (warning: strncat is easily misused). Risk is low because the
  source is a constant character.
    if (*prefixp && *suffixp) strcat(namep, ".");
data/verilator-4.038/include/verilated.h:960:53:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    { (vvar) = VL_CLEAN_II((obits), (obits), (svar).read()); }
data/verilator-4.038/include/verilated.h:962:53:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    { (vvar) = VL_CLEAN_QQ((obits), (obits), (svar).read()); }
data/verilator-4.038/include/verilated.h:965:22:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    { (od) = ((svar).read().get_word(0)) & VL_MASK_I(obits); }
data/verilator-4.038/include/verilated.h:968:44:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        (od) = ((static_cast<QData>((svar).read().get_word(1))) << VL_IDATASIZE \
data/verilator-4.038/include/verilated.h:969:26:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
                | (svar).read().get_word(0)) \
data/verilator-4.038/include/verilated.h:975:59:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        for (int i = 0; i < words; ++i) (owp)[i] = (svar).read().get_word(i); \
data/verilator-4.038/include/verilated.h:980:53:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    { (vvar) = VL_CLEAN_II((obits), (obits), (svar).read().to_uint()); }
data/verilator-4.038/include/verilated.h:982:53:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    { (vvar) = VL_CLEAN_QQ((obits), (obits), (svar).read().to_uint64()); }
data/verilator-4.038/include/verilated.h:986:46:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        sc_biguint<(obits)> _butemp = (svar).read(); \
data/verilator-4.038/include/verilated.h:1125:37:  [1] (buffer) equal:
  Function does not check the second iterator for over-read conditions
  (CWE-126). This function is often discouraged by most C++ coding standards
  in favor of its safer alternatives provided since C++14. Consider using a
  form of this function that checks the second iterator before potentially
  overflowing it.
    for (int i = 0; i < words; ++i) equal |= lwp[i];
data/verilator-4.038/include/verilated.h:1126:13:  [1] (buffer) equal:
  Function does not check the second iterator for over-read conditions
  (CWE-126). This function is often discouraged by most C++ coding standards
  in favor of its safer alternatives provided since C++14. Consider using a
  form of this function that checks the second iterator before potentially
  overflowing it.
    return (equal != 0);
data/verilator-4.038/include/verilated_cov.cpp:192:33:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        const char* apost = a + strlen(a) - 1;
data/verilator-4.038/include/verilated_cov.cpp:193:33:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        const char* bpost = b + strlen(b) - 1;
data/verilator-4.038/include/verilated_imp.h:284:22:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        size_t len = strlen(prefixp);
data/verilator-4.038/include/verilated_save.cpp:77:13:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    assert((strlen(VLTSAVE_HEADER_STR) & 7) == 0);  // Keep aligned
data/verilator-4.038/include/verilated_save.cpp:78:34:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    os.write(VLTSAVE_HEADER_STR, strlen(VLTSAVE_HEADER_STR));
data/verilator-4.038/include/verilated_save.cpp:88:56:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (VL_UNLIKELY(os.readDiffers(VLTSAVE_HEADER_STR, strlen(VLTSAVE_HEADER_STR)))) {
data/verilator-4.038/include/verilated_save.cpp:95:8:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    os.read(Verilated::serialized1Ptr(), Verilated::serialized1Size());
data/verilator-4.038/include/verilated_save.cpp:96:8:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    os.read(Verilated::serialized2Ptr(), Verilated::serialized2Size());
data/verilator-4.038/include/verilated_save.cpp:101:13:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    assert((strlen(VLTSAVE_TRAILER_STR) & 7) == 0);  // Keep aligned
data/verilator-4.038/include/verilated_save.cpp:102:35:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    os.write(VLTSAVE_TRAILER_STR, strlen(VLTSAVE_TRAILER_STR));
data/verilator-4.038/include/verilated_save.cpp:107:57:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (VL_UNLIKELY(os.readDiffers(VLTSAVE_TRAILER_STR, strlen(VLTSAVE_TRAILER_STR)))) {
data/verilator-4.038/include/verilated_save.cpp:225:25:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
        ssize_t got = ::read(m_fd, m_endp, remaining);
data/verilator-4.038/include/verilated_save.h:128:34:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    inline VerilatedDeserialize& read(void* __restrict datap, size_t size) VL_MT_UNSAFE_ONE {
data/verilator-4.038/include/verilated_save.h:206:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:212:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:218:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:224:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:230:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:236:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:242:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read(&rhs, sizeof(rhs));
data/verilator-4.038/include/verilated_save.h:253:15:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
    return os.read((void*)rhs.data(), len);
data/verilator-4.038/include/verilated_vcd_c.cpp:656:11:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    wp += strlen(wp);
data/verilator-4.038/include/verilated_vcd_c.cpp:770:17:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    m_writep += strlen(m_writep);
data/verilator-4.038/include/verilated_vpi.cpp:1694:23:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            int len = strlen(valuep->value.str);
data/verilator-4.038/include/verilated_vpi.cpp:1710:23:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            int len = strlen(valuep->value.str);
data/verilator-4.038/include/verilated_vpi.cpp:1760:27:  [1] (buffer) sscanf:
  It's unclear if the %s limit in the format string is small enough
  (CWE-120). Check that the limit is sufficiently small, or use a different
  input function.
            int success = sscanf(valuep->value.str, "%30llu%15s", &val, remainder);
data/verilator-4.038/include/verilated_vpi.cpp:1793:23:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            int len = strlen(val);
data/verilator-4.038/include/verilated_vpi.cpp:1829:23:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            int len = strlen(valuep->value.str);
data/verilator-4.038/src/V3Begin.cpp:120:58:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    dottedname = dottedname.substr(pos + strlen("__DOT__"));
data/verilator-4.038/src/V3EmitCSyms.cpp:209:55:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                        varBase = whole.substr(dpos + strlen("__DOT__"));
data/verilator-4.038/src/V3File.cpp:411:27:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
            ssize_t got = read(fd, buf, todo);
data/verilator-4.038/src/V3LinkDot.cpp:906:52:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            string ident = dottedname.substr(pos + strlen("__DOT__"));
data/verilator-4.038/src/V3Number.cpp:267:46:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        for (const char* cp = value_startp + strlen(value_startp) - 1; cp >= value_startp; cp--) {
data/verilator-4.038/src/V3Options.cpp:771:9:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (strlen(arg) > sw.length()) return false;
data/verilator-4.038/src/V3Options.cpp:772:52:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    return (0 == strcmp(sw.c_str() + sw.length() - strlen(arg), arg));
data/verilator-4.038/src/V3Options.cpp:790:39:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addDefine(string(sw + strlen("+define+")), true);
data/verilator-4.038/src/V3Options.cpp:792:64:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addIncDirUser(parseFileArg(optdir, string(sw + strlen("+incdir+"))));
data/verilator-4.038/src/V3Options.cpp:806:43:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                string exts = string(sw + strlen("+libext+"));
data/verilator-4.038/src/V3Options.cpp:938:49:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-pvalue+", strlen("-pvalue+"))) {
data/verilator-4.038/src/V3Options.cpp:939:42:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addParameter(string(sw + strlen("-pvalue+")), false);
data/verilator-4.038/src/V3Options.cpp:995:44:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                for (const char* cp = sw + strlen("-O"); *cp; ++cp) {
data/verilator-4.038/src/V3Options.cpp:1049:39:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addDefine(string(sw + strlen("-D")), false);
data/verilator-4.038/src/V3Options.cpp:1055:49:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-debugi-", strlen("-debugi-"))) {
data/verilator-4.038/src/V3Options.cpp:1056:40:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                const char* src = sw + strlen("-debugi-");
data/verilator-4.038/src/V3Options.cpp:1062:53:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-dump-treei-", strlen("-dump-treei-"))) {
data/verilator-4.038/src/V3Options.cpp:1063:40:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                const char* src = sw + strlen("-dump-treei-");
data/verilator-4.038/src/V3Options.cpp:1072:43:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-G", strlen("-G"))) {
data/verilator-4.038/src/V3Options.cpp:1073:42:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addParameter(string(sw + strlen("-G")), false);
data/verilator-4.038/src/V3Options.cpp:1085:64:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                addIncDirUser(parseFileArg(optdir, string(sw + strlen("-I"))));
data/verilator-4.038/src/V3Options.cpp:1189:47:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                V3PreShell::undef(string(sw + strlen("-U")));
data/verilator-4.038/src/V3Options.cpp:1214:49:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-Werror-", strlen("-Werror-"))) {
data/verilator-4.038/src/V3Options.cpp:1215:35:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                string msg = sw + strlen("-Werror-");
data/verilator-4.038/src/V3Options.cpp:1222:50:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            } else if (!strncmp(sw, "-Wfuture-", strlen("-Wfuture-"))) {
data/verilator-4.038/src/V3Options.cpp:1223:35:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                string msg = sw + strlen("-Wfuture-");
data/verilator-4.038/src/V3Options.cpp:1237:39:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    string msg = sw + strlen("-Wno-");
data/verilator-4.038/src/V3Options.cpp:1248:39:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                    string msg = sw + strlen("-Wwarn-");
data/verilator-4.038/src/V3Options.cpp:1569:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    int len = strlen(langswp);
data/verilator-4.038/src/V3ParseImp.cpp:155:28:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (cmtparse.substr(0, strlen("/*verilator")) == "/*verilator") {
data/verilator-4.038/src/V3ParseImp.cpp:156:29:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cmtparse.replace(0, strlen("/*verilator"), "");
data/verilator-4.038/src/V3ParseImp.cpp:183:26:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    string tmp = textp + strlen("/*verilator tag ");
data/verilator-4.038/src/V3ParseImp.cpp:190:21:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    size_t length = strlen(textp);
data/verilator-4.038/src/V3PreProc.cpp:411:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    int len = strlen(strg);
data/verilator-4.038/src/V3PreProc.cpp:441:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cp += strlen("verilator");
data/verilator-4.038/src/V3PreProc.cpp:446:46:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    } else if (0 == (strncmp(cp, "synopsys", strlen("synopsys")))) {
data/verilator-4.038/src/V3PreProc.cpp:447:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cp += strlen("synopsys");
data/verilator-4.038/src/V3PreProc.cpp:452:45:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    } else if (0 == (strncmp(cp, "cadence", strlen("cadence")))) {
data/verilator-4.038/src/V3PreProc.cpp:453:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cp += strlen("cadence");
data/verilator-4.038/src/V3PreProc.cpp:455:44:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    } else if (0 == (strncmp(cp, "pragma", strlen("pragma")))) {
data/verilator-4.038/src/V3PreProc.cpp:456:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cp += strlen("pragma");
data/verilator-4.038/src/V3PreProc.cpp:458:53:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    } else if (0 == (strncmp(cp, "ambit synthesis", strlen("ambit synthesis")))) {
data/verilator-4.038/src/V3PreProc.cpp:459:15:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        cp += strlen("ambit synthesis");
data/verilator-4.038/src/V3PreProc.cpp:492:36:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
            cmd = cmd.substr(pos + strlen("public_flat_rw"));
data/verilator-4.038/src/V3String.cpp:135:23:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    if (parsed_len != strlen(strgp)) {
data/verilator-4.038/src/VlcPoint.h:67:27:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        size_t shortLen = strlen(shortKey);
data/verilator-4.038/test_regress/t/TestSimulator.h:34:33:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
                                strlen("Chronologic Simulation VCS"))) {
data/verilator-4.038/test_regress/t/t_dpi_import_c.cpp:177:43:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
int dpii_f_strlen(const char* i) { return strlen(i); }
data/verilator-4.038/test_regress/t/t_dpi_string_c.cpp:40:12:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    return strlen(s);
data/verilator-4.038/test_regress/t/t_mem_multi_io2.cpp:54:21:  [1] (buffer) read:
  Check buffer boundaries if used in a loop including recursive loops
  (CWE-120, CWE-20).
#  define READ(s) s.read()
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:107:26:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
    CHECK_RESULT(status, strlen("hello vpi_mcd_printf"));
data/verilator-4.038/test_regress/t/t_vpi_var.cpp:566:22:  [1] (buffer) strlen:
  Does not handle strings that are not \0-terminated; if given one it may
  perform an over-read (it could cause a crash if unprotected) (CWE-126).
        CHECK_RESULT(strlen(vlog_info.version) > 0, 1);

ANALYSIS SUMMARY:

Hits = 564
Lines analyzed = 131617 in approximately 3.27 seconds (40211 lines/second)
Physical Source Lines of Code (SLOC) = 99195
Hits@level = [0] 296 [1] 165 [2] 284 [3]  12 [4] 102 [5]   1
Hits@level+ = [0+] 860 [1+] 564 [2+] 399 [3+] 115 [4+] 103 [5+]   1
Hits/KSLOC@level+ = [0+] 8.66979 [1+] 5.68577 [2+] 4.02238 [3+] 1.15933 [4+] 1.03836 [5+] 0.0100812
Dot directories skipped = 1 (--followdotdir overrides)
Suppressed hits = 2 (use --neverignore to show them)
Minimum risk level = 1
Not every hit is necessarily a security vulnerability.
There may be other security vulnerabilities; review your code!
See 'Secure Programming HOWTO'
(https://dwheeler.com/secure-programs) for more information.