===========================================================
                                      .___ __  __   
          _________________  __ __  __| _/|__|/  |_ 
         / ___\_` __ \__  \ |  |  \/ __ | | \\_  __\
        / /_/  >  | \// __ \|  |  / /_/ | |  ||  |  
        \___  /|__|  (____  /____/\____ | |__||__|  
       /_____/            \/           \/           
              grep rough audit - static analysis tool
                  v2.8 written by @Wireghoul
=================================[justanotherhacker.com]===
ghdl-0.37+dfsg/dist/ci-run.sh-2-
ghdl-0.37+dfsg/dist/ci-run.sh:3:scriptdir=`dirname $0`
ghdl-0.37+dfsg/dist/ci-run.sh-4-
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-154-  fi
ghdl-0.37+dfsg/dist/ci-run.sh:155:  PKG_SHA="`printf $GIT_SHA | cut -c1-10`"
ghdl-0.37+dfsg/dist/ci-run.sh-156-
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-165-    *tags*)
ghdl-0.37+dfsg/dist/ci-run.sh:166:      PKG_TAG="$(vertag "`echo "$GITHUB_REF" | sed 's#^refs/tags/\(.*\)#\1#g'`" "$GITHUB_REF")"
ghdl-0.37+dfsg/dist/ci-run.sh-167-    ;;
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-174-      else
ghdl-0.37+dfsg/dist/ci-run.sh:175:        PKG_TAG="`vertag "$TRAVIS_TAG" "$TRAVIS_TAG"`"
ghdl-0.37+dfsg/dist/ci-run.sh-176-      fi
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-205-
ghdl-0.37+dfsg/dist/ci-run.sh:206:  GHDL_IMAGE_TAG="`echo $BUILD_ARG | sed -e 's/+/-/g'`"
ghdl-0.37+dfsg/dist/ci-run.sh-207-  BUILD_IMAGE_TAG="$GHDL_IMAGE_TAG"
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-210-    *gcc*)
ghdl-0.37+dfsg/dist/ci-run.sh:211:      BUILD_IMAGE_TAG="`echo $GHDL_IMAGE_TAG | sed 's#\(.*\)-gcc.*#\1-gcc#g'`"
ghdl-0.37+dfsg/dist/ci-run.sh-212-    ;;
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-296-      llvm-*)
ghdl-0.37+dfsg/dist/ci-run.sh:297:          llvmver=`echo $BACK | sed -e "s/llvm-//"`
ghdl-0.37+dfsg/dist/ci-run.sh-298-          CXX="clang++-$llvmver"
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-305-
ghdl-0.37+dfsg/dist/ci-run.sh:306:  if [ ! "`echo $BACK | grep gcc`" ]; then
ghdl-0.37+dfsg/dist/ci-run.sh-307-      gstart "[GHDL - build] Configure"
##############################################
ghdl-0.37+dfsg/dist/ci-run.sh-325-
ghdl-0.37+dfsg/dist/ci-run.sh:326:  if [ "`echo $BACK | grep gcc`" ]; then
ghdl-0.37+dfsg/dist/ci-run.sh-327-      gstart "[GHDL - build] Make ghdllib"
##############################################
ghdl-0.37+dfsg/dist/gcc/Make-lang.in-97-	echo "     \"$(exec_prefix)\";" >> tmp-dpaths.ads
ghdl-0.37+dfsg/dist/gcc/Make-lang.in:98:	suffix=`expr @"$(libexecdir)" : @"$(prefix)/\(.*\)"`; \
ghdl-0.37+dfsg/dist/gcc/Make-lang.in-99-	if test x"$$suffix" = x; then suffix="$(libexecdir)"; fi; \
##############################################
ghdl-0.37+dfsg/dist/windows/compile.ps1-511-			$Installer = Get-Content $InstallerTemplateFile
ghdl-0.37+dfsg/dist/windows/compile.ps1:512:			$Installer = $Installer -replace "# DATASECTION", "`$CompressedFileContentInBase64 = `"$CompressedFileContentInBase64`""
ghdl-0.37+dfsg/dist/windows/compile.ps1-513-			$Installer | Out-File -FilePath $GHDLPS1PackageFile
##############################################
ghdl-0.37+dfsg/dist/windows/mcode/ghdl.nsi-351-  Push "$0;"
ghdl-0.37+dfsg/dist/windows/mcode/ghdl.nsi:352:  Call un.StrStr ; Find `$0;` in $1
ghdl-0.37+dfsg/dist/windows/mcode/ghdl.nsi-353-  Pop $2 ; pos of our dir
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-269-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:270:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:271:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:272:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-273-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-304-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:305:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:306:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:307:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-308-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-339-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:340:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:341:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:342:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-343-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-362-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:363:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:364:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:365:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-366-		Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii `
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-385-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:386:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:387:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:388:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-389-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-426-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:427:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:428:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:429:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-430-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-461-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:462:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:463:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:464:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-465-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-485-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:486:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:487:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:488:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-489-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-520-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:521:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:522:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:523:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-524-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-543-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:544:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:545:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:546:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-547-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-567-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:568:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:569:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:570:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-571-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-602-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:603:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:604:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:605:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-606-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-625-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:626:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:627:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:628:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-629-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-649-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:650:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:651:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:652:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-653-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-690-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:691:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:692:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:693:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-694-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-725-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:726:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:727:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:728:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-729-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-749-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:750:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:751:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:752:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-753-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-784-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:785:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:786:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:787:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-788-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-807-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:808:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:809:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:810:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-811-		# Patch file
##############################################
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-831-		$EnableVerbose -and	(Write-Host "      Patching file for VHDL-$VHDLVersion"																																														) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:832:		$EnableDebug -and		(Write-Host "        Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``"	-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:833:		$EnableDebug -and		(Write-Host "          | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``"																						-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1:834:		$EnableDebug -and		(Write-Host "          | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii"																				-ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/dist/windows/compile-libraries.ps1-835-		# Patch file
##############################################
ghdl-0.37+dfsg/src/errorout-memory.ads-55-   function Get_Error_Message (Idx : Error_Index) return String;
ghdl-0.37+dfsg/src/errorout-memory.ads:56:   function Get_Error_Message_Addr (Idx : Error_Index) return System.Address;
ghdl-0.37+dfsg/src/errorout-memory.ads-57-
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-245-      subtype Bit_Type_Type is Type_Type (Type_Bit);
ghdl-0.37+dfsg/src/synth/synth-values.adb:246:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Bit_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-247-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-253-      subtype Logic_Type_Type is Type_Type (Type_Logic);
ghdl-0.37+dfsg/src/synth/synth-values.adb:254:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Logic_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-255-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-262-      subtype Discrete_Type_Type is Type_Type (Type_Discrete);
ghdl-0.37+dfsg/src/synth/synth-values.adb:263:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Discrete_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-264-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-272-      subtype Float_Type_Type is Type_Type (Type_Float);
ghdl-0.37+dfsg/src/synth/synth-values.adb:273:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Float_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-274-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-283-      subtype Vector_Type_Type is Type_Type (Type_Vector);
ghdl-0.37+dfsg/src/synth/synth-values.adb:284:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Vector_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-285-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-294-      subtype Slice_Type_Type is Type_Type (Type_Slice);
ghdl-0.37+dfsg/src/synth/synth-values.adb:295:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Slice_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-296-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-342-      subtype Array_Type_Type is Type_Type (Type_Array);
ghdl-0.37+dfsg/src/synth/synth-values.adb:343:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Array_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-344-      W : Width;
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-359-      subtype Unbounded_Type_Type is Type_Type (Type_Unbounded_Array);
ghdl-0.37+dfsg/src/synth/synth-values.adb:360:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Unbounded_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-361-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-370-      subtype Unbounded_Type_Type is Type_Type (Type_Unbounded_Vector);
ghdl-0.37+dfsg/src/synth/synth-values.adb:371:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Unbounded_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-372-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-424-      subtype Record_Type_Type is Type_Type (Type_Record);
ghdl-0.37+dfsg/src/synth/synth-values.adb:425:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Record_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-426-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-434-      subtype Access_Type_Type is Type_Type (Type_Access);
ghdl-0.37+dfsg/src/synth/synth-values.adb:435:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Access_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-436-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-444-      subtype File_Type_Type is Type_Type (Type_File);
ghdl-0.37+dfsg/src/synth/synth-values.adb:445:      function Alloc is new Areapools.Alloc_On_Pool_Addr (File_Type_Type);
ghdl-0.37+dfsg/src/synth/synth-values.adb-446-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-454-      subtype Value_Type_Wire is Value_Type (Values.Value_Wire);
ghdl-0.37+dfsg/src/synth/synth-values.adb:455:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Wire);
ghdl-0.37+dfsg/src/synth/synth-values.adb-456-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-466-      subtype Value_Type_Net is Value_Type (Value_Net);
ghdl-0.37+dfsg/src/synth/synth-values.adb:467:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Net);
ghdl-0.37+dfsg/src/synth/synth-values.adb-468-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-478-      subtype Value_Type_Discrete is Value_Type (Value_Discrete);
ghdl-0.37+dfsg/src/synth/synth-values.adb:479:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Discrete);
ghdl-0.37+dfsg/src/synth/synth-values.adb-480-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-489-      subtype Value_Type_Float is Value_Type (Value_Float);
ghdl-0.37+dfsg/src/synth/synth-values.adb:490:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Float);
ghdl-0.37+dfsg/src/synth/synth-values.adb-491-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-502-      subtype Value_Type_Access is Value_Type (Value_Access);
ghdl-0.37+dfsg/src/synth/synth-values.adb:503:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Access);
ghdl-0.37+dfsg/src/synth/synth-values.adb-504-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-515-      subtype Value_Type_File is Value_Type (Value_File);
ghdl-0.37+dfsg/src/synth/synth-values.adb:516:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_File);
ghdl-0.37+dfsg/src/synth/synth-values.adb-517-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-555-      subtype Value_Type_Array is Value_Type (Value_Array);
ghdl-0.37+dfsg/src/synth/synth-values.adb:556:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Array);
ghdl-0.37+dfsg/src/synth/synth-values.adb-557-
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-571-      function Alloc is
ghdl-0.37+dfsg/src/synth/synth-values.adb:572:         new Areapools.Alloc_On_Pool_Addr (Value_Type_Const_Array);
ghdl-0.37+dfsg/src/synth/synth-values.adb-573-
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-631-      subtype Value_Type_Record is Value_Type (Value_Record);
ghdl-0.37+dfsg/src/synth/synth-values.adb:632:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Record);
ghdl-0.37+dfsg/src/synth/synth-values.adb-633-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-644-      function Alloc is
ghdl-0.37+dfsg/src/synth/synth-values.adb:645:         new Areapools.Alloc_On_Pool_Addr (Value_Type_Const_Record);
ghdl-0.37+dfsg/src/synth/synth-values.adb-646-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-655-      subtype Value_Type_Instance is Value_Type (Value_Instance);
ghdl-0.37+dfsg/src/synth/synth-values.adb:656:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Instance);
ghdl-0.37+dfsg/src/synth/synth-values.adb-657-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-665-      subtype Value_Type_Subtype is Value_Type (Value_Subtype);
ghdl-0.37+dfsg/src/synth/synth-values.adb:666:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Subtype);
ghdl-0.37+dfsg/src/synth/synth-values.adb-667-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-675-      subtype Value_Type_Alias is Value_Type (Value_Alias);
ghdl-0.37+dfsg/src/synth/synth-values.adb:676:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Alias);
ghdl-0.37+dfsg/src/synth/synth-values.adb-677-   begin
##############################################
ghdl-0.37+dfsg/src/synth/synth-values.adb-688-      subtype Value_Type_Const is Value_Type (Value_Const);
ghdl-0.37+dfsg/src/synth/synth-values.adb:689:      function Alloc is new Areapools.Alloc_On_Pool_Addr (Value_Type_Const);
ghdl-0.37+dfsg/src/synth/synth-values.adb-690-   begin
##############################################
ghdl-0.37+dfsg/src/grt/grt-stack2.adb-153-                              Top => 1);
ghdl-0.37+dfsg/src/grt/grt-stack2.adb:154:      return To_Addr (Res);
ghdl-0.37+dfsg/src/grt/grt-stack2.adb-155-   end Create;
##############################################
ghdl-0.37+dfsg/src/grt/config/win32.c-173-  /* Get current handler.  */
ghdl-0.37+dfsg/src/grt/config/win32.c:174:  asm volatile ("mov %%fs:(0),%0" : "=r" (prev));
ghdl-0.37+dfsg/src/grt/config/win32.c-175-
##############################################
ghdl-0.37+dfsg/src/grt/config/win32.c-180-  /* Register.  */
ghdl-0.37+dfsg/src/grt/config/win32.c:181:  asm volatile ("mov %0,%%fs:(0)" : : "r" (&er));
ghdl-0.37+dfsg/src/grt/config/win32.c-182-#endif
##############################################
ghdl-0.37+dfsg/src/grt/config/win32.c-191-  /* Restore.  */
ghdl-0.37+dfsg/src/grt/config/win32.c:192:  asm volatile ("mov %0,%%fs:(0)" : : "r" (prev));
ghdl-0.37+dfsg/src/grt/config/win32.c-193-#endif
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb-78-                 (Ctxt, Sig.Obj_Type,
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb:79:                  Loc_To_Addr (Sig.Common.Depth, Sig.Loc, Ctxt), True,
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb-80-                  Rti_Object'(Obj, Ctxt));
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb-536-                 (Ctxt, Cur_Sig.Obj_Type,
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb:537:                  Loc_To_Addr (Cur_Sig.Common.Depth, Cur_Sig.Loc, Ctxt),
ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb-538-                  True, True);
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-898-        (Ctxt, Sig.Obj_Type,
ghdl-0.37+dfsg/src/grt/grt-waves.adb:899:         Loc_To_Addr (Sig.Common.Depth, Sig.Loc, Ctxt), True, 0);
ghdl-0.37+dfsg/src/grt/grt-waves.adb-900-   end Write_Signal_Numbers;
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1368-                        Addr := To_Ghdl_Uc_Array_Acc
ghdl-0.37+dfsg/src/grt/grt-waves.adb:1369:                          (Loc_To_Addr (Rti.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1370-                        Bounds := Addr.Bounds;
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1382-                        Addr := To_Ghdl_Uc_Array_Acc
ghdl-0.37+dfsg/src/grt/grt-waves.adb:1383:                          (Loc_To_Addr (Rti.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1384-                        Write_Record_Bounds (Rec, Addr.Bounds);
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1398-                        Addr := To_Ghdl_Uc_Array_Acc
ghdl-0.37+dfsg/src/grt/grt-waves.adb:1399:                          (Loc_To_Addr (Rti.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1400-                        Write_Record_Bounds (Rec, Addr.Bounds);
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1434-                     begin
ghdl-0.37+dfsg/src/grt/grt-waves.adb:1435:                        Layout := Loc_To_Addr (Rti.Depth, Arr.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1436-                        Write_Array_Bounds
##############################################
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1499-                       (Sub.Basetype,
ghdl-0.37+dfsg/src/grt/grt-waves.adb:1500:                        To_Ghdl_Range_Ptr (Loc_To_Addr (Rti.Depth,
ghdl-0.37+dfsg/src/grt/grt-waves.adb-1501-                                                        Sub.Range_Loc,
##############################################
ghdl-0.37+dfsg/src/grt/grt-psl.adb-121-      Put (F, "   ""count"": ");
ghdl-0.37+dfsg/src/grt/grt-psl.adb:122:      Addr := Loc_To_Addr (Obj.Common.Depth, Obj.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-psl.adb-123-      Val := To_Ghdl_Index_Ptr (Addr).all;
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.ads-68-   --  Convert a location to an address.
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.ads:69:   function Loc_To_Addr (Depth : Ghdl_Rti_Depth;
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.ads-70-                         Loc : Ghdl_Rti_Loc;
##############################################
ghdl-0.37+dfsg/src/grt/grt-vcd.adb-376-               Kind := Rti_To_Vcd_Kind (Arr_Rti);
ghdl-0.37+dfsg/src/grt/grt-vcd.adb:377:               Bounds := Loc_To_Addr (St.Common.Depth, St.Layout,
ghdl-0.37+dfsg/src/grt/grt-vcd.adb-378-                                      Avhpi_Get_Context (Sig));
##############################################
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-157-                     Layout :=
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb:158:                       Loc_To_Addr (St.Common.Depth, St.Layout, Res.Ctxt);
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-159-                     Bound_To_Range
##############################################
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-730-                     Vptr : constant Ghdl_Value_Ptr := To_Ghdl_Value_Ptr
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb:731:                       (Loc_To_Addr (Iter.Common.Depth, Iter.Loc, Obj.Ctxt));
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-732-                     Iter_Type : Ghdl_Rti_Access;
##############################################
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-1024-                        --  constraint type is basetype.indexes (idx - 1)
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb:1025:                        Layout := Loc_To_Addr (Arr_Subtype.Common.Depth,
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-1026-                                               Arr_Subtype.Layout, Ref.Ctxt);
##############################################
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-1246-           | VhpiConstDeclK =>
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb:1247:            return Loc_To_Addr (Obj.Ctxt.Block.Depth,
ghdl-0.37+dfsg/src/grt/grt-avhpi.adb-1248-                                Obj.Obj.Loc,
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-305-            begin
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:306:               Layout := Loc_To_Addr (St.Common.Depth, St.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-307-               Bounds := Array_Layout_To_Bounds (Layout);
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-326-               if Rti_Complex_Type (Rti) then
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:327:                  Rec_Layout := Loc_To_Addr (Bt.Common.Depth, Bt.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-328-               else
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-356-            begin
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:357:               Layout := Loc_To_Addr (St.Common.Depth, St.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-358-               Disp_Record_Value (Stream, Bt, Ctxt, Obj, Layout, Is_Sig);
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-666-   begin
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:667:      Range_Addr := Loc_To_Addr (Def.Common.Depth,
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-668-                                 Def.Range_Loc, Ctxt);
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-716-                    (To_Ghdl_Rtin_Type_Record_Acc (Sdef.Basetype),
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:717:                     Loc_To_Addr (Sdef.Common.Depth, Sdef.Layout, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-718-               end if;
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-740-               else
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:741:                  Layout := Loc_To_Addr (Sdef.Common.Depth, Sdef.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-742-                  Disp_Type_Array_Name
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-889-
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:890:      Addr := Loc_To_Addr (Obj.Common.Depth, Obj.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-891-      Obj_Type := Obj.Obj_Type;
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-907-      Put ("count = ");
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:908:      Addr := Loc_To_Addr (Obj.Common.Depth, Obj.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-909-      Put_U32 (stdout, Ghdl_U32 (To_Ghdl_Index_Ptr (Addr).all));
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-921-      Put ("endpoint = ");
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:922:      Addr := Loc_To_Addr (Obj.Common.Depth, Obj.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-923-      if To_Ghdl_Value_Ptr (Addr).B1 then
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-1152-      Put (" is ");
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:1153:      Layout := Loc_To_Addr (Def.Common.Depth, Def.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-1154-      Disp_Type_Array_Name (Basetype, Array_Layout_To_Bounds (Layout));
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-1222-      if Def.Common.Kind = Ghdl_Rtik_Subtype_Record then
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb:1223:         Layout := Loc_To_Addr (Def.Common.Depth, Def.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb-1224-         Disp_Type_Record_Bounds (Basetype, Layout);
##############################################
ghdl-0.37+dfsg/src/grt/Makefile.inc-71-
ghdl-0.37+dfsg/src/grt/Makefile.inc:72:# Additionnal object files (C or asm files).
ghdl-0.37+dfsg/src/grt/Makefile.inc-73-GRT_BASE_C_OBJS:=$(GRT_TARGET_OBJS) grt-cstdio.o grt-cgnatrts.o
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb-157-
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb:158:   function Loc_To_Addr (Depth : Ghdl_Rti_Depth;
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb-159-                         Loc : Ghdl_Rti_Loc;
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb-218-      Rng := To_Ghdl_Range_Ptr
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb:219:        (Loc_To_Addr (Iter_Type.Common.Depth, Iter_Type.Range_Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb-220-      return Range_To_Length (Rng, Iter_Type.Basetype);
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-421-               begin
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb:422:                  Layout := Loc_To_Addr (St.Common.Depth, St.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-423-                  Bounds := Array_Layout_To_Bounds (Layout);
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-442-               begin
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb:443:                  Bounds := Loc_To_Addr (Bt.Common.Depth, Bt.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-444-                  Handle_Record (Bt);
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-466-               begin
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb:467:                  Bounds := Loc_To_Addr (St.Common.Depth, St.Layout, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-468-                  Handle_Record (Bt);
##############################################
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-712-                     Iter := To_Ghdl_Rtin_Object_Acc (Blk.Children (0));
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb:713:                     Addr := Loc_To_Addr (Iter.Common.Depth, Iter.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb-714-                     Get_Value (Rstr, Addr, Get_Base_Type (Iter.Obj_Type));
##############################################
ghdl-0.37+dfsg/src/grt/grt-disp_tree.adb-125-               Disp_Name (Gen.Name);
ghdl-0.37+dfsg/src/grt/grt-disp_tree.adb:126:               Addr := Loc_To_Addr (Iter.Common.Depth, Iter.Loc, Ctxt);
ghdl-0.37+dfsg/src/grt/grt-disp_tree.adb-127-               Bounds := Null_Address;
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-114-      St_Rng := To_Ghdl_Range_Ptr
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:115:        (Loc_To_Addr (Idx_Rti.Depth,
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-116-                      To_Ghdl_Rtin_Subtype_Scalar_Acc (Idx_Rti).Range_Loc,
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-145-      Uc_Array := To_Ghdl_Uc_Array_Acc
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:146:        (Loc_To_Addr (Obj_Rti.Common.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-147-      Uc_Array.all := (Base => Arr (0)'Address,
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-165-      Rng := To_Ghdl_Range_Ptr
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:166:        (Loc_To_Addr (Subtype_Rti.Common.Depth, Subtype_Rti.Range_Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-167-      case Rng.I32.Dir is
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-183-      Ptr := To_Ghdl_Value_Ptr
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:184:        (Loc_To_Addr (Obj_Rti.Common.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-185-      Ptr.I32 := Ghdl_I32 (Res);
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-201-      Ptr := To_Ghdl_Value_Ptr
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:202:        (Loc_To_Addr (Obj_Rti.Common.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-203-
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-215-               Rng := To_Ghdl_Range_Ptr
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:216:                 (Loc_To_Addr (Subtype_Rti.Common.Depth,
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-217-                               Subtype_Rti.Range_Loc, Ctxt));
##############################################
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-364-                  Uc_Array := To_Ghdl_Uc_Array_Acc
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb:365:                    (Loc_To_Addr (Obj_Rti.Common.Depth, Obj_Rti.Loc, Ctxt));
ghdl-0.37+dfsg/src/grt/grt-change_generics.adb-366-                  if Uc_Array.Base = Null_Address then
##############################################
ghdl-0.37+dfsg/src/areapools.adb-131-
ghdl-0.37+dfsg/src/areapools.adb:132:   function Alloc_On_Pool_Addr (Pool : Areapool_Acc; Val : T)
ghdl-0.37+dfsg/src/areapools.adb-133-                               return System.Address
##############################################
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/llvm-targetmachine.ads-109-
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/llvm-targetmachine.ads:110:   --  Emits an asm or object file for the given module to the filename. This
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/llvm-targetmachine.ads-111-   --  wraps several c++ only classes (among them a file stream). Returns any
##############################################
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile-14-	-largs llvm-cbindings.o --LINK=$(CXX) \
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile:15:	$(LDFLAGS) `$(LLVM_CONFIG) --ldflags --libs --system-libs`
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile-16-
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile-17-llvm-cbindings.o: $(ortho_srcdir)/llvm-nodebug/llvm-cbindings.cpp
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile:18:	$(CXX) -c `$(LLVM_CONFIG) --cxxflags` -o $@ $<
ghdl-0.37+dfsg/src/ortho/llvm-nodebug/Makefile-19-
##############################################
ghdl-0.37+dfsg/src/ortho/llvm35/llvm-targetmachine.ads-105-
ghdl-0.37+dfsg/src/ortho/llvm35/llvm-targetmachine.ads:106:   --  Emits an asm or object file for the given module to the filename. This
ghdl-0.37+dfsg/src/ortho/llvm35/llvm-targetmachine.ads-107-   --  wraps several c++ only classes (among them a file stream). Returns any
##############################################
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile-14-	-largs llvm-cbindings.o --LINK=$(CXX) \
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile:15:	$(LDFLAGS) `$(LLVM_CONFIG) --ldflags --libs --system-libs`
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile-16-
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile-17-llvm-cbindings.o: $(ortho_srcdir)/llvm35/llvm-cbindings.cpp
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile:18:	$(CXX) -c `$(LLVM_CONFIG) --cxxflags` -o $@ $<
ghdl-0.37+dfsg/src/ortho/llvm35/Makefile-19-
##############################################
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-targetmachine.ads-109-
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-targetmachine.ads:110:   --  Emits an asm or object file for the given module to the filename. This
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-targetmachine.ads-111-   --  wraps several c++ only classes (among them a file stream). Returns any
##############################################
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile-16-	-largs llvm-cbindings.o --LINK=$(CXX) \
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile:17:	$(LDFLAGS) `$(LLVM_LDFLAGS)`
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile-18-
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile-19-llvm-cbindings.o: $(ortho_srcdir)/llvm4-nodebug/llvm-cbindings.cpp
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile:20:	$(CXX) -c `$(LLVM_CONFIG) --cxxflags` -o $@ $<
ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/Makefile-21-
##############################################
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on-379-
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on:380:PRIVATE PROCEDURE call_arg_addr (a : int32; b : int64; c : float)
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on-381-DECLARE
##############################################
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on-1003-  disp_test ();
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on:1004:  call_arg_addr (1, 2, 3.0);
ghdl-0.37+dfsg/src/ortho/oread/tests/full.on-1005-
##############################################
ghdl-0.37+dfsg/src/ortho/oread/tests/asgn_acc.on-4-
ghdl-0.37+dfsg/src/ortho/oread/tests/asgn_acc.on:5:PRIVATE PROCEDURE call_arg_addr ()
ghdl-0.37+dfsg/src/ortho/oread/tests/asgn_acc.on-6-DECLARE
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1476-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c:1477:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1478-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1508-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c:1509:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1510-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1514-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c:1515:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1516-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1520-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c:1521:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1522-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1526-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c:1527:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-49.c-1528-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1462-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c:1463:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1464-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1494-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c:1495:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1496-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1500-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c:1501:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1502-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1506-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c:1507:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1508-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1512-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c:1513:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-6.c-1514-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1475-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c:1476:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1477-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1507-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c:1508:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1509-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1513-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c:1514:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1515-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1519-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c:1520:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1521-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1525-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c:1526:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-9.c-1527-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1462-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c:1463:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1464-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1494-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c:1495:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1496-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1500-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c:1501:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1502-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1506-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c:1507:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1508-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1512-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c:1513:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-5.c-1514-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1475-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c:1476:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1477-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1507-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c:1508:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1509-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1513-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c:1514:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1515-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1519-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c:1520:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1521-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1525-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c:1526:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-8.c-1527-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1474-static tree
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c:1475:ortho_build_addr (tree lvalue, tree atype)
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1476-{
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1506-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c:1507:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1508-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1512-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c:1513:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1514-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1518-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c:1519:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1520-}
##############################################
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1524-{
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c:1525:  return ortho_build_addr (lvalue, atype);
ghdl-0.37+dfsg/src/ortho/gcc/ortho-lang-7.c-1526-}
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-54-   --  Dump assembly when generated.
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads:55:   Dump_Asm : Boolean := False;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-56-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-80-   --  Get the virtual address of a symbol.
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads:81:   function Get_Symbol_Vaddr (Sym : Symbol) return Pc_Type;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-82-   pragma Inline (Get_Symbol_Vaddr);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-122-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads:123:   procedure Gen_Ua_Addr (Sym : Symbol; Offset : Integer_32);
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.ads-124-   procedure Gen_Ua_32 (Sym : Symbol);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-246-
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:247:   procedure Read_Addr (Addr : in out Address; Res : out Address) is
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-248-   begin
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-275-
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:276:   procedure Read_Addr (Addr : in out Address;
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-277-                        Form : Unsigned_32;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-282-         when DW_FORM_Addr =>
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:283:            Read_Addr (Addr, Res);
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-284-         when DW_FORM_String =>
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-434-                  when DW_AT_Low_Pc =>
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:435:                     Read_Addr (Addr, Abbrev_Form, Low_Pc);
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-436-                  when DW_AT_High_Pc =>
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:437:                     Read_Addr (Addr, Abbrev_Form, High_Pc);
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-438-                  when DW_AT_Name =>
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:439:                     Read_Addr (Addr, Abbrev_Form, Name);
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-440-                  when DW_AT_Sibling =>
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-606-                  when DW_LNE_Set_Address =>
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb:607:                     Read_Addr (Addr, Pc);
ghdl-0.37+dfsg/src/ortho/mcode/symbolizer.adb-608-                  when others =>
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-251-   --  Generate an immediat constant.
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb:252:   procedure Gen_Imm_Addr (N : O_Enode)
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-253-   is
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-308-            pragma Assert (Sz = Sz_32);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb:309:            Gen_Imm_Addr (N);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-310-         when others =>
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-911-               Gen_8 (Opc_Movl_Imm_Reg + To_Reg32 (Tr, Sz));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb:912:               Gen_Imm_Addr (Stmt);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-913-            end if;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-3267-
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb:3268:      if Debug.Flag_Debug_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb:3269:         Dump_Asm := True;
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb-3270-      end if;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.adb-66-         when 'a' =>
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.adb:67:            Flag_Debug_Asm := True;
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.adb-68-         when 'B' =>
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-consts.adb-327-      pragma Assert (Get_Const_Kind (Cst) = OC_Subprg_Address);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-consts.adb:328:      return To_Cnode_Addr (Cnodes.Table (Cst + 1)).Decl;
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-consts.adb-329-   end Get_Const_Decl;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-186-                     Sh_Flags => 0,
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb:187:                     Sh_Addr => Elf_Addr (Sect.Vaddr),
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-188-                     Sh_Offset => 0,
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-513-                     Rela.R_Addend := 0;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb:514:                     Rela.R_Offset := Elf_Addr (R.Addr);
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-515-                     Rela.R_Info := Elf_R_Info (S, T);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-525-                     end case;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb:526:                     Rel.R_Offset := Elf_Addr (R.Addr);
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-527-                     Rela.R_Info := Elf_R_Info (S, T);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-551-            Sym := Elf_Sym'(St_Name => Elf_Word (Str_Off),
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb:552:                            St_Value => Elf_Addr (Get_Symbol_Value (S)),
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-elf.adb-553-                            St_Size => 0,
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-disps.adb-128-            Disp_Type (Get_Const_Type (Lit));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-disps.adb:129:            Put ("'subprg_addr (");
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-disps.adb-130-            Decls.Disp_Decl_Name (Get_Const_Decl (Lit));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.ads-36-   --  a: disp assembly code.
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.ads:37:   Flag_Debug_Asm : Boolean := False;
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-debug.ads-38-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code_main.adb-224-         else
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code_main.adb:225:            Breakme (Conv (Get_Symbol_Vaddr (Sym)));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code_main.adb-226-            V := F.all (Val);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.adb-204-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.adb:205:   function Get_Section_Addr (Sect : Section_Acc) return System.Address is
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.adb-206-   begin
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_jit.adb-148-         else
ghdl-0.37+dfsg/src/ortho/mcode/ortho_jit.adb:149:            Addr := Get_Section_Addr (Sect);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_jit.adb-150-            Size := Get_Section_Size (Sect);
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.ads-31-   --  Read the result: get address and size of a section.
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.ads:32:   function Get_Section_Addr (Sect : Section_Acc) return System.Address;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file-memory.ads-33-   function Get_Section_Size (Sect : Section_Acc) return Pc_Type;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-267-      Gen_8 (Byte (DW_LNE_Set_Address));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:268:      Gen_Ua_Addr (Orig_Sym, 0);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-269-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-312-      Gen_Ua_32 (Line_Sym);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:313:      Gen_Ua_Addr (Orig_Sym, 0);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:314:      Gen_Ua_Addr (End_Sym, 0);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-315-      Gen_String_Nul ("T.Gingold ortho_mcode (2004)");
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-451-      Gen_32 (0);  --  pad
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:452:      Gen_Ua_Addr (Orig_Sym, 0); --  text offset
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-453-      Gen_32 (Unsigned_32 (Length));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1065-      Gen_8 (DW_OP_Addr);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:1066:      Gen_Ua_Addr (Get_Decl_Symbol (Decl), 0);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1067-   end Emit_Global_Location;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1160-
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:1161:         Gen_Ua_Addr (Subprg_Sym, Integer_32 (Get_Block_Info1 (Decl)));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:1162:         Gen_Ua_Addr (Subprg_Sym, Integer_32 (Get_Block_Info2 (Decl)));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1163-      end if;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1245-      Subprg_Sym := Binary.Get_Decl_Symbol (Decl);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:1246:      Gen_Ua_Addr (Subprg_Sym, 0);
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb:1247:      Gen_Ua_Addr (Subprg_Sym, Integer_32 (Get_Body_Info (Bod)));
ghdl-0.37+dfsg/src/ortho/mcode/ortho_code-dwarf.adb-1248-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-253-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:254:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-255-         Put_Line (HT & ".section """ & Sect.Name.all & """");
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-288-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:289:   procedure Get_Symbol_At_Addr (Addr : System.Address;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-290-                                 Line : in out String;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-348-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:349:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-350-         Disassemble.Disassemble_Insn
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-408-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:409:   procedure Write_Addr (Sect : Section_Acc; Pc : Pc_Type; B : Pc_Type)
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-410-   is
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-438-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:439:   function Read_Addr (Sect : Section_Acc; Pc : Pc_Type) return Pc_Type
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-440-   is
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-452-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:453:   procedure Add_Addr (Sect : Section_Acc; Pc : Pc_Type; V : Pc_Type) is
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-454-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:455:      Write_Addr (Sect, Pc, V + Read_Addr (Sect, Pc));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-456-   end Add_Addr;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-483-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:484:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-485-         Put_Line (HT & ".byte 0x" & Hex_Image (B));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-491-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:492:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-493-         Put_Line (HT & ".half 0x" & Hex_Image (Unsigned_16 (B)));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-499-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:500:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-501-         if Sym = Null_Symbol then
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-636-      end if;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:637:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-638-         Put_Line (HT & ".align" & Natural'Image (Align));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-645-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:646:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-647-         Put_Line (HT & ".space" & Integer_32'Image (Length));
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-672-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:673:      if Dump_Asm then
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-674-         if Export then
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-766-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:767:   procedure Gen_Addr (Offset : Integer_32) is
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-768-   begin
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-784-      end if;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:785:      Gen_Addr (Offset);
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-786-   end Gen_Abs;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-812-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:813:   procedure Gen_Ua_Addr (Sym : Symbol; Offset : Integer_32) is
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-814-   begin
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-817-      end if;
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:818:      Gen_Addr (Offset);
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-819-   end Gen_Ua_Addr;
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-827-
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:828:   function Get_Symbol_Vaddr (Sym : Symbol) return Pc_Type is
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-829-   begin
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-854-   begin
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:855:      D := Unsigned_32 (Get_Symbol_Vaddr (Sym) - (Sect.Vaddr + Addr));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-856-      --  Check overflow.
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-879-         when Reloc_32 =>
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:880:            Add_32 (Sect, Addr, Unsigned_32 (Get_Symbol_Vaddr (Sym)));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-881-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-883-           | Reloc_Ua_Addr =>
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:884:            Add_Addr (Sect, Addr, Get_Symbol_Vaddr (Sym));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-885-
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-887-            Add_32 (Sect, Addr,
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:888:                    To_Unsigned_32 (Get_Symbol_Vaddr (Sym)
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-889-                                      - (Sect.Vaddr + Addr)
##############################################
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-896-            Write_Left_32 (Sect, Addr, 22,
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:897:                           Unsigned_32 (Get_Symbol_Vaddr (Sym) / 1024));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-898-         when Reloc_Lo10 =>
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-899-            Write_Left_32 (Sect, Addr, 10,
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:900:                           Unsigned_32 (Get_Symbol_Vaddr (Sym)));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-901-         when Reloc_Ua_32 =>
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb:902:            Write_32 (Sect, Addr, Unsigned_32 (Get_Symbol_Vaddr (Sym)));
ghdl-0.37+dfsg/src/ortho/mcode/binary_file.adb-903-         when Reloc_Ppc_Addr24 =>
##############################################
ghdl-0.37+dfsg/src/ortho/debug/ortho_debug-disp.adb-643-            Disp_Tnode_Name (C.Ctype);
ghdl-0.37+dfsg/src/ortho/debug/ortho_debug-disp.adb:644:            Put ("'subprg_addr (");
ghdl-0.37+dfsg/src/ortho/debug/ortho_debug-disp.adb-645-            Disp_Dnode_Name (C.Addr_Decl);
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-69-   --  If set, do not assmble
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:70:   Flag_Asm : Boolean;
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-71-
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-157-
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:158:      --  Create asm file.
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-159-      case Backend is
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-286-               Delete_File (Asm_File.all, Success);
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:287:            elsif not Flag_Asm then
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-288-               declare
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-539-            Assembler_Path := Locate_Exec_On_Path (Assembler_Cmd.all);
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:540:            if Assembler_Path = null and not Flag_Asm then
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-541-               Tool_Not_Found (Assembler_Cmd.all);
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-588-      Flag_Disp_Commands := False;
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:589:      Flag_Asm := False;
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-590-      Flag_Expect_Failure := False;
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-626-      elsif Opt = "-S" then
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb:627:         Flag_Asm := True;
ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb-628-         Res := Option_Ok;
##############################################
ghdl-0.37+dfsg/src/ghdldrv/ghdllocal.ads-64-
ghdl-0.37+dfsg/src/ghdldrv/ghdllocal.ads:65:   --  Suffix for asm files.
ghdl-0.37+dfsg/src/ghdldrv/ghdllocal.ads-66-   Asm_Suffix : constant String := ".s";
##############################################
ghdl-0.37+dfsg/src/areapools.ads-45-      type T is private;
ghdl-0.37+dfsg/src/areapools.ads:46:   function Alloc_On_Pool_Addr (Pool : Areapool_Acc; Val : T)
ghdl-0.37+dfsg/src/areapools.ads-47-                               return System.Address;
##############################################
ghdl-0.37+dfsg/src/errorout-memory.adb-66-
ghdl-0.37+dfsg/src/errorout-memory.adb:67:   function Get_Error_Message_Addr (Idx : Error_Index) return System.Address
ghdl-0.37+dfsg/src/errorout-memory.adb-68-   is
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb-3396-         function Alloc_Block_Instance is new
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb:3397:           Alloc_On_Pool_Addr (Block_Type);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb-3398-
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb-3667-                        function Alloc_Actuals_Ref is new
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb:3668:                          Alloc_On_Pool_Addr (Actuals_Ref_Type);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-execution.adb-3669-
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-355-      subtype Signal_Value is Iir_Value_Literal (Iir_Value_Signal);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:356:      function Alloc is new Alloc_On_Pool_Addr (Signal_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-357-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-368-      subtype Terminal_Value is Iir_Value_Literal (Iir_Value_Terminal);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:369:      function Alloc is new Alloc_On_Pool_Addr (Terminal_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-370-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-379-      subtype Quantity_Value is Iir_Value_Literal (Iir_Value_Quantity);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:380:      function Alloc is new Alloc_On_Pool_Addr (Quantity_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-381-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-390-      subtype Instance_Value is Iir_Value_Literal (Iir_Value_Instance);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:391:      function Alloc is new Alloc_On_Pool_Addr (Instance_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-392-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-401-      subtype Protected_Value is Iir_Value_Literal (Iir_Value_Protected);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:402:      function Alloc is new Alloc_On_Pool_Addr (Protected_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-403-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-411-      subtype B1_Value is Iir_Value_Literal (Iir_Value_B1);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:412:      function Alloc is new Alloc_On_Pool_Addr (B1_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-413-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-420-      subtype E8_Value is Iir_Value_Literal (Iir_Value_E8);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:421:      function Alloc is new Alloc_On_Pool_Addr (E8_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-422-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-429-      subtype E32_Value is Iir_Value_Literal (Iir_Value_E32);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:430:      function Alloc is new Alloc_On_Pool_Addr (E32_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-431-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-438-      subtype I64_Value is Iir_Value_Literal (Iir_Value_I64);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:439:      function Alloc is new Alloc_On_Pool_Addr (I64_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-440-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-447-      subtype F64_Value is Iir_Value_Literal (Iir_Value_F64);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:448:      function Alloc is new Alloc_On_Pool_Addr (F64_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-449-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-457-      subtype Access_Value is Iir_Value_Literal (Iir_Value_Access);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:458:      function Alloc is new Alloc_On_Pool_Addr (Access_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-459-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-471-      subtype Range_Value is Iir_Value_Literal (Iir_Value_Range);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:472:      function Alloc is new Alloc_On_Pool_Addr (Range_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-473-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-486-      subtype File_Value is Iir_Value_Literal (Iir_Value_File);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:487:      function Alloc is new Alloc_On_Pool_Addr (File_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-488-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-565-      subtype Array_Value is Iir_Value_Literal (Iir_Value_Array);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:566:      function Alloc_Array is new Alloc_On_Pool_Addr (Array_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-567-      subtype Dim_Type is Value_Bounds_Array (Dim);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:568:      function Alloc_Bounds is new Alloc_On_Pool_Addr (Dim_Type);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-569-      Res : Iir_Value_Literal_Acc;
##############################################
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-634-      subtype Record_Value is Iir_Value_Literal (Iir_Value_Record);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:635:      function Alloc_Record is new Alloc_On_Pool_Addr (Record_Value);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-636-      subtype Data_Type is Value_Array (Nbr);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb:637:      function Alloc_Data is new Alloc_On_Pool_Addr (Data_Type);
ghdl-0.37+dfsg/src/vhdl/simulate/simul-environments.adb-638-      Res : Iir_Value_Literal_Acc;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb-31-      New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Base (D)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb:32:                       M2Addr (Chap3.Get_Composite_Base (S)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb-33-      New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Bounds (D)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb:34:                       M2Addr (Chap3.Get_Composite_Bounds (S)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb-35-   end Copy_Fat_Pointer;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.ads-143-   function Get_Context_Rti (Node : Iir) return O_Enode;
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.ads:144:   function Get_Context_Addr (Node : Iir) return O_Enode;
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.ads-145-end Trans.Rtis;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans.adb-1777-
ghdl-0.37+dfsg/src/vhdl/translate/trans.adb:1778:   function M2Addr (M : Mnode) return O_Enode is
ghdl-0.37+dfsg/src/vhdl/translate/trans.adb-1779-   begin
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-105-         else
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:106:            New_Assign_Stmt (M2Lp (Dest), M2Addr (Src));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-107-         end if;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-570-                       (M2Lp (Chap3.Get_Composite_Bounds (Name_Node)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:571:                        M2Addr (Chap3.Get_Composite_Type_Bounds (Aggr_Type)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-572-                     Chap3.Allocate_Unbounded_Composite_Base
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-976-            New_Association
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:977:              (Assoc, New_Convert_Ov (M2Addr (Targ), Ghdl_Ptr_Type));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-978-            New_Association (Assoc, Get_Nbr_Signals (Targ, Targ_Type));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1160-           (M2Lp (Chap3.Get_Composite_Bounds (Name_Node)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:1161:            M2Addr (Chap3.Get_Composite_Bounds
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1162-                      (Chap6.Translate_Name (Decl, Mode_Signal))));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1700-                 | Type_Mode_Bounds_Acc =>
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:1701:                  New_Assign_Stmt (Get_Var (A), M2Addr (N));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1702-               when Type_Mode_Scalar =>
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1704-                     when Mode_Value =>
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:1705:                        New_Assign_Stmt (Get_Var (A), M2Addr (N));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1706-                     when Mode_Signal =>
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1711-                  --  FIXME: Check ?
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb:1712:                  New_Assign_Stmt (Get_Var (A), M2Addr (N));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb-1713-               when others =>
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-222-        (Assoc, Binfo.B.Builder (Kind).Builder_Instance);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:223:      New_Association (Assoc, M2Addr (Layout));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-224-      New_Procedure_Call (Assoc);
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-772-                  Gen_Memcpy
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:773:                    (M2Addr (Array_Bounds_To_Element_Layout (Targ, Def)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:774:                     M2Addr (Get_Composite_Type_Layout (El_Tinfo)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-775-                     New_Lit (New_Sizeof (El_Tinfo.B.Layout_Type,
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-2936-           (M2Lp (Chap3.Get_Composite_Bounds (Var_El)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:2937:            M2Addr (Chap3.Array_Bounds_To_Element_Bounds
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-2938-                      (Chap3.Get_Composite_Bounds (Arr), Arr_Type)));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-2950-      else
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:2951:         New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Base (Var)), M2Addr (El));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-2952-         return Var;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3168-            D := Stabilize (Dest);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:3169:            Gen_Memcpy (M2Addr (Get_Composite_Base (D)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:3170:                        M2Addr (Get_Composite_Base (Src)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3171-                        Get_Object_Size (D, Obj_Type));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3174-            D := Stabilize (Dest);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:3175:            Gen_Memcpy (M2Addr (D), M2Addr (Src),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3176-                        Get_Object_Size (D, Obj_Type));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3248-   begin
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb:3249:      Copy_Bounds (M2Addr (Dest), M2Addr (Src), Obj_Type);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb-3250-   end Copy_Bounds;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans.ads-1640-
ghdl-0.37+dfsg/src/vhdl/translate/trans.ads:1641:   function M2Addr (M : Mnode) return O_Enode;
ghdl-0.37+dfsg/src/vhdl/translate/trans.ads-1642-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-580-         if It_Info.Iterator_Range_Copy then
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:581:            Gen_Memcpy (M2Addr (Get_Iterator_Range_Var (Iterator)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-582-                        New_Address (Rng, Iter_Type_Info.B.Range_Ptr_Type),
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-1180-                    (M2Lp (Chap3.Get_Composite_Bounds (Val)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:1181:                     M2Addr (Chap3.Get_Composite_Bounds (Targ_Node)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-1182-                  --  Allocate target
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-2130-            New_Association
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:2131:              (Assocs, New_Convert_Ov (M2Addr (Value), Ghdl_Ptr_Type));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-2132-            --    length.
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-2959-                          (M2Lp (Chap3.Get_Composite_Bounds (Param)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:2960:                           M2Addr (Chap3.Get_Composite_Bounds (Params (Pos))));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-2961-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3014-                  when Pass_By_Address =>
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3015:                     Val := M2Addr (Param);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3016-               end case;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3035-                        New_Assign_Stmt (Get_Var (Assoc_Info.Call_Assoc_Ref),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3036:                                         M2Addr (Param));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3037-                     end if;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3213-                          (M2Lp (Chap3.Get_Composite_Bounds (Fat)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3214:                           M2Addr (Bnd));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3215-                        New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3216-                          (M2Lp (Chap3.Get_Composite_Base (Fat)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3217:                           M2Addr (Chap3.Get_Composite_Base (Mval)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3218-                     else
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3245-                          (Formal_Type, Alloc_Return, Param);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3246:                        Assign_Params_Field (M2Addr (Param), Mode);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3247-                     end if;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3273-               Formal_Type);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:3274:            E_Params (Pos) := M2Addr (Inout_Params (Pos));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-3275-         else
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-4405-              (M2Lp (Chap3.Get_Composite_Bounds (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:4406:               M2Addr (Chap3.Get_Composite_Bounds (Targ)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-4407-            --  Allocate target
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-4503-              (M2Lp (Chap3.Get_Composite_Bounds (Targ)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb:4504:               M2Addr (Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb-4505-            --  Build bounds from aggregate.
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-437-               else
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb:438:                  New_Assign_Stmt (M2Lp (Formal_Val), M2Addr (Actual_Val));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-439-               end if;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-659-         New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Bounds (Act_Node)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb:660:                          M2Addr (Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-661-      end loop;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-667-                                  (Chap6.Get_Port_Init_Value (Port))),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb:668:                          M2Addr (Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb-669-      end if;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb-3015-
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb:3016:   function Get_Context_Addr (Node : Iir) return O_Enode
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb-3017-   is
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb-3057-      New_Association (Assoc, Get_Context_Rti (Node));
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb:3058:      New_Association (Assoc, Get_Context_Addr (Node));
ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb-3059-   end Associate_Rti_Context;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-469-         New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Bounds (Fat_Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb:470:                          M2Addr (Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-471-         New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Base (Fat_Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb:472:                          M2Addr (Base));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-473-         return Fat_Res;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-911-         New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Bounds (Fat_Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb:912:                          M2Addr (El_Descr));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-913-      else
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-968-           (M2Lp (Chap3.Get_Composite_Base (Fat_Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb:969:            New_Convert_Ov (M2Addr (Res),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb-970-                            Get_Info (El_Btype).B.Base_Ptr_Type (Kind)));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-794-        (M2Lp (Chap3.Get_Composite_Base (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:795:         New_Convert_Ov (M2Addr (Chap3.Get_Composite_Base (Stable_Expr)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-796-           Type_Info.B.Base_Ptr_Type (Kind)));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-798-        (M2Lp (Chap3.Get_Composite_Bounds (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:799:         M2Addr (Chap3.Get_Composite_Bounds (Stable_Expr)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-800-      return Res;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-941-               Mode := Get_Object_Kind (Expr);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:942:               return E2M (New_Convert_Ov (M2Addr (Expr),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-943-                                           Ainfo.Ortho_Ptr_Type (Mode)),
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1438-           (M2Lp (Chap3.Get_Composite_Bounds (Var_Sub_Arr)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:1439:            M2Addr (Chap3.Get_Composite_Bounds (M)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1440-         New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1441-           (M2Lp (Chap3.Get_Composite_Base (Var_Sub_Arr)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:1442:            M2Addr (Chap3.Slice_Base (Var_Arr,
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1443-                                      Expr_Type,
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1562-      New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:1563:        (M2Lp (Chap3.Get_Composite_Bounds (Res)), M2Addr (Var_Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1564-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1715-      New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:1716:        (M2Lp (Chap3.Get_Composite_Base (Res)), M2Addr (Var_Arr));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1717-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1967-      New_Assign_Stmt (M2Lp (Chap3.Get_Composite_Bounds (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:1968:                       M2Addr (Chap3.Get_Composite_Bounds (Arr)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-1969-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3743-                 (New_Obj_Value (Res),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3744:                  M2Addr (Chap3.Get_Composite_Bounds (Val_M)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3745-                  New_Lit (Bounds_Size));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3749-                 (Chap3.Get_Bounds_Acc_Base (New_Obj_Value (Res), D_Type),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3750:                  M2Addr (Chap3.Get_Composite_Base (Val_M)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3751-                  New_Obj_Value (Val_Size));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3761-              (R, E2M (Val, D_Info, Mode_Value), D_Type);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3762:            return New_Convert_Ov (M2Addr (R), A_Info.Ortho_Type (Mode_Value));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3763-         when others =>
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3833-               Gen_Memcpy (New_Obj_Value (Ptr),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3834:                           M2Addr (Chap3.Get_Composite_Type_Bounds (Sub_Type)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3835-                           New_Lit (Bounds_Size));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3850-            return New_Convert_Ov
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3851:              (M2Addr (Res), A_Info.Ortho_Type (Mode_Value));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3852-         when others =>
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3876-            return New_Convert_Ov
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3877:              (M2Addr (Chap3.Get_Composite_Base (E)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3878-               Res_Info.Ortho_Ptr_Type (Mode_Value));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3885-               Chap3.Check_Composite_Match (Res_Type, Res, Expr_Type, E, Loc);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3886:               return M2Addr (Res);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3887-            end;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3996-        (M2Lp (Chap3.Get_Composite_Base (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:3997:         New_Convert_Ov (M2Addr (Chap3.Get_Composite_Base (E)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-3998-           Res_Info.B.Base_Ptr_Type (Mode_Value)));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4098-                 (M2Lp (Chap3.Get_Composite_Bounds (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:4099:                  M2Addr (Chap3.Get_Composite_Bounds (Var_Val)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4100-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4118-            Close_Temp;
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:4119:            return M2Addr (Res);
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4120-         end;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4254-                       (M2Lp (Chap3.Get_Composite_Bounds (Mres)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:4255:                        M2Addr (Bounds));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-4256-                     --  Build bounds from aggregate.
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5318-      New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5319:        (New_Obj (Var_Base), M2Addr (Chap3.Get_Composite_Base (Res)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5320-      New_Assign_Stmt
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5321-        (New_Obj (Var_L_Base),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5322:         M2Addr (Chap3.Get_Composite_Base
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5323-                   (Dp2M (F_Info.Operator_Left, Tinfo, Mode_Value))));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5326-           (New_Obj (Var_R_Base),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5327:            M2Addr (Chap3.Get_Composite_Base
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5328-                      (Dp2M (F_Info.Operator_Right, Tinfo, Mode_Value))));
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5607-        (M2Lp (Chap3.Get_Composite_Bounds (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5608:         M2Addr (Chap3.Get_Composite_Bounds (L)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5609-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5629-        (M2Lp (Chap3.Get_Composite_Base (Res)),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5630:         M2Addr (Chap3.Get_Composite_Base (L)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5631-      New_Return_Stmt;
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5642-      New_Assign_Stmt (New_Obj (Var_L_Base),
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5643:                       M2Addr (Chap3.Get_Composite_Base (L)));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5644-
##############################################
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5838-               New_Association
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb:5839:                 (Assocs, New_Convert_Ov (M2Addr (Val), Ghdl_Ptr_Type));
ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb-5840-               --    length.
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-35-	-- -----------------------------------------------------------------------
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:36:	function I2cGetAddr( Addr 	: in integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-37-						 Trans 	: in I2c_Transaction_t) return integer;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-67-							
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:68:	procedure I2cMasterSendAddr(	Address 	: in	integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-69-									IsRead		: in	boolean;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-113-							
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:114:	procedure I2cSlaveExpectAddr(	Address 	: in	integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-115-									IsRead		: in	boolean;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-389-	-- -----------------------------------------------------------------------
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:390:	function I2cGetAddr( Addr 	: in integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-391-						 Trans 	: in I2c_Transaction_t) return integer is
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-497-							
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:498:	procedure I2cMasterSendAddr(	Address 	: in	integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-499-									IsRead		: in	boolean;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-657-							
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd:658:	procedure I2cSlaveExpectAddr(	Address 	: in	integer;
ghdl-0.37+dfsg/testsuite/gna/issue1051/psi_tb_i2c_pkg.vhd-659-									IsRead		: in	boolean;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue191/repro.vhdl-12-  function init_signals(bits : natural) return t_rec is
ghdl-0.37+dfsg/testsuite/gna/issue191/repro.vhdl:13:    variable result : t_rec(addr(bits - 1 downto 0));
ghdl-0.37+dfsg/testsuite/gna/issue191/repro.vhdl-14-  begin
##############################################
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl-15-    variable init_if : t_axilite_if
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl:16:      (  write_address_channel( awaddr( addr_width    -1 downto 0)), 
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl-17-         write_data_channel(    wdata(  data_width    -1 downto 0),
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl-18-                                wstrb(( data_width/8) -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl:19:         read_address_channel(  araddr( addr_width    -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug082/repro.vhdl-20-         read_data_channel(     rdata(  data_width    -1 downto 0)));
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd-451-
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd:452:	addr(i) <= write_addr_ptr   when (write_ram_fsm = RUN or write_ram_fsm = START) and to_integer(write_ram_ptr) = i else
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd-453-	           read_addr_ptr(0) when (to_integer(read_ram_ptr(0)) = i and (read_ram_fsm(0) = TRACEBACK or read_ram_fsm(0) = WAIT_FOR_RAM or read_ram_fsm(0) = FINISH)) or
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd-467-		en  => '1',
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd:468:		a   => std_logic_vector(addr(i)),
ghdl-0.37+dfsg/testsuite/gna/issue301/src/ram_ctrl.vhd-469-		d   => s_axis_input_tdata,
##############################################
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl-70-    variable init_if : t_axilite_if
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl:71:      (  write_address_channel( awaddr( addr_width    -1 downto 0)), 
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl-72-         write_data_channel(    wdata(  data_width    -1 downto 0),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl-73-                                wstrb(( data_width/8) -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl:74:         read_address_channel(  araddr( addr_width    -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro.vhdl-75-         read_data_channel(     rdata(  data_width    -1 downto 0)));
##############################################
ghdl-0.37+dfsg/testsuite/gna/bug083/repro2.vhdl-22-    variable init_if : t_axilite_if
ghdl-0.37+dfsg/testsuite/gna/bug083/repro2.vhdl:23:      (  write_address_channel( awaddr( addr_width    -1 downto 0)));
ghdl-0.37+dfsg/testsuite/gna/bug083/repro2.vhdl-24-  begin
##############################################
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl-58-    variable init_if : t_axilite_if
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl:59:      (  write_address_channel( awaddr( addr_width    -1 downto 0)), 
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl-60-         write_data_channel(    wdata(  data_width    -1 downto 0),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl-61-                                wstrb(( data_width/8) -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl:62:         read_address_channel(  araddr( addr_width    -1 downto 0)),
ghdl-0.37+dfsg/testsuite/gna/bug083/repro3.vhdl-63-         read_data_channel(     rdata(  data_width    -1 downto 0)));
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_pif_pkg.vhd-96-    ) return t_sbi_if is
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_pif_pkg.vhd:97:    variable result : t_sbi_if( addr(addr_width - 1 downto 0), 
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_pif_pkg.vhd-98-                                wdata(data_width - 1 downto 0), 
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_tb.vhd-35-
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_tb.vhd:36:signal sbi_if : t_sbi_if(addr(2 downto 0), wdata(7 downto 0), rdata(7 downto 0)) := init_sbi_if_signals(3, 8);
ghdl-0.37+dfsg/testsuite/gna/issue332/irqc_tb.vhd-37- 
##############################################
ghdl-0.37+dfsg/testsuite/gna/README-38-if [ "$OS" = "Windows_NT" ]; then
ghdl-0.37+dfsg/testsuite/gna/README:39:    vpi_lib=`$GHDL --vpi-library-dir | sed -e 's!\\\\!/!g' -e 's!^C:!/C!g'`
ghdl-0.37+dfsg/testsuite/gna/README-40-    echo vpi_lib: $vpi_lib
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue98/testsuite.sh-9-  if [ "$OS" = "Windows_NT" ]; then
ghdl-0.37+dfsg/testsuite/gna/issue98/testsuite.sh:10:      vpi_lib=`$GHDL --vpi-library-dir | sed -e 's!\\\\!/!g' -e 's!^C:!/C!g'`
ghdl-0.37+dfsg/testsuite/gna/issue98/testsuite.sh-11-      echo vpi_lib: $vpi_lib
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue531/testsuite.sh-12-  if [ "$OS" = "Windows_NT" ]; then
ghdl-0.37+dfsg/testsuite/gna/issue531/testsuite.sh:13:      vpi_lib=`$GHDL --vpi-library-dir | sed -e 's!\\\\!/!g' -e 's!^C:!/C!g'`
ghdl-0.37+dfsg/testsuite/gna/issue531/testsuite.sh-14-      echo vpi_lib: $vpi_lib
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl-24-    ) return t_sbi_if is
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl:25:    variable result : t_sbi_if( addr(addr_width - 1 downto 0), 
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl-26-                                wdata(data_width - 1 downto 0), 
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl-38-
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl:39:  signal sbi_if : t_sbi_if(addr(2 downto 0), wdata(7 downto 0), rdata(7 downto 0)) := init_sbi_if_signals(3, 8);
ghdl-0.37+dfsg/testsuite/gna/issue328/repro_irqc.vhdl-40-
##############################################
ghdl-0.37+dfsg/testsuite/gna/bug16287/16287.vhd-10-signal bt_addr : unsigned(6 downto 0) := "1010101";
ghdl-0.37+dfsg/testsuite/gna/bug16287/16287.vhd:11:alias dw_addr : unsigned(4 downto 0) is bt_addr(6 downto 2 ) ;
ghdl-0.37+dfsg/testsuite/gna/bug16287/16287.vhd-12-
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/main.c-7-uint8_t *D[1];
ghdl-0.37+dfsg/testsuite/gna/issue797/main.c:8:uintptr_t get_addr(uint8_t id) { return (uintptr_t)D[id]; }
ghdl-0.37+dfsg/testsuite/gna/issue797/main.c:9:uintptr_t get_baddr(uint8_t id) { return get_addr(id); }
ghdl-0.37+dfsg/testsuite/gna/issue797/main.c-10-
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-6-  impure function
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:7:  get_addr(
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-8-    id : integer
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-12-  impure function
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:13:  get_baddr(
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-14-    id : integer
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-31-  impure function
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:32:  get_addr(
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-33-    id : integer
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-38-  impure function
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:39:  get_baddr(
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-40-    id : integer
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-49-  ) is
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:50:    variable a : extbuf_access_t := get_addr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:51:    variable b : byte_vector_access_t := get_baddr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:52:    variable c : byte_vector_access_t(1 to integer'high) := get_baddr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-53-  begin
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-62-  ) return natural is
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:63:    variable a : extbuf_access_t := get_addr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:64:    variable b : byte_vector_access_t := get_baddr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl:65:    variable c : byte_vector_access_t(1 to integer'high) := get_baddr(0);
ghdl-0.37+dfsg/testsuite/gna/issue797/pkg_c.vhdl-66-  begin
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue450/testsuite.sh-9-  if [ "$OS" = "Windows_NT" ]; then
ghdl-0.37+dfsg/testsuite/gna/issue450/testsuite.sh:10:      vpi_lib=`$GHDL --vpi-library-dir | sed -e 's!\\\\!/!g' -e 's!^C:!/C!g'`
ghdl-0.37+dfsg/testsuite/gna/issue450/testsuite.sh-11-      echo vpi_lib: $vpi_lib
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue190/e.vhdl-13-  begin
ghdl-0.37+dfsg/testsuite/gna/issue190/e.vhdl:14:    -- case addr(1 downto 0) is     -- not static, even if addr is of kind constant
ghdl-0.37+dfsg/testsuite/gna/issue190/e.vhdl-15-    case addr_alias(1 downto 0) is  -- see local constant declarations
##############################################
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh-16-  -k | --keep-going)  full=y ;;
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh:17:  --dir=*) dirs=`echo $opt | sed -e 's/--dir=//'` ;;
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh:18:  --skip=*) d=`echo $opt | sed -e 's/--skip=//'`
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh:19:            dirs=`echo "" $dirs | sed -e "s/ $d//"` ;;
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh:20:  --start-at=*) d=`echo $opt | sed -e 's/--start-at=//'`
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh:21:            dirs=`echo "" $dirs | sed -e "s/^.* $d//"`
ghdl-0.37+dfsg/testsuite/gna/testsuite.sh-22-            dirs="$d $dirs" ;;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl-62-    write_address_channel (
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl:63:        awaddr(31 downto 0)  ),
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl-64-    write_data_channel (
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl-67-    read_address_channel (
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl:68:        araddr(31 downto 0)  ),
ghdl-0.37+dfsg/testsuite/gna/issue418/repro.vhdl-69-    read_data_channel (
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl-18-    write_channel (
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl:19:        awaddr(31 downto 0)  )
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl-20-    );
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl-28-    assert s.write_channel.awvalid = '0';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl:29:    assert s.write_channel.awaddr(12) = '1';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl-30-    wait for 2 ns;
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl:31:    assert s.write_channel.awaddr(14) = '1';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.vhdl-32-    wait;
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.notes-9-
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.notes:10:  subtype ST_IF_32 is t_if  (write_channel (awaddr(31 downto 0)));
ghdl-0.37+dfsg/testsuite/gna/issue418/repro2.notes-11-
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl-20-    write_channel (
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl:21:        awaddr(31 downto 0)  )
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl-22-    );
##############################################
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl-31-    assert s.write_channel.awvalid = '0';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl:32:    assert s.write_channel.awaddr(12) = '1';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl-33-    wait for 2 ns;
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl:34:    assert s.write_channel.awaddr(14) = '1';
ghdl-0.37+dfsg/testsuite/gna/issue418/repro3.vhdl-35-    wait;
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/packages/io_controller-1.vhd-103-  the_bus_sequencer : entity work.bus_sequencer(fsm)
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/packages/io_controller-1.vhd:104:    port map ( rd, wr, sel, width, burst, addr(3 downto 0), ready,
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/packages/io_controller-1.vhd-105-               control_reg_wr, status_reg_rd, data_fifo_wr, data_fifo_rd,
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd-67-  main_memory : component memory
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd:68:    port map ( addr => latched_addr(25 downto 0), -- . . . );
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd-69-    -- not in book
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd-73-  serial_interface_a : component serial_interface
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd:74:    port map ( clk => sys_clk, address => latched_addr(3 downto 0), -- . . . );
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/single_board_computer.vhd-75-    -- not in book
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/computer_system.vhd-51-    generic map ( prop_delay => 4 ns )
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/computer_system.vhd:52:    port map ( in0 => addr(4), in1 => addr(5),
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/computer_system.vhd-53-               out0 => interface_a_select, out1 => interface_b_select,
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/resolution/memory_system.vhd-74-  boot_ROM : entity work.ROM(behavioral)
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/resolution/memory_system.vhd:75:    port map ( a => internal_addr(15 downto 0),
ghdl-0.37+dfsg/testsuite/vests/vhdl-ams/ashenden/compliant/resolution/memory_system.vhd-76-               d => internal_data(7 downto 0),
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_08_fg_08_04.vhd-84-                 the_bus_sequencer : entity work.bus_sequencer(fsm)
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_08_fg_08_04.vhd:85:                   port map ( rd, wr, sel, width, burst, addr(1 downto 0), ready,
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_08_fg_08_04.vhd-86-                              control_reg_wr, status_reg_rd, data_fifo_wr, data_fifo_rd,
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd-531-                        if ( (IR_opcode = op_lb or IR_opcode = op_lbu) and To_bitvector(mem_addr) /= "00" )
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd:532:                          or ( (IR_opcode = op_lh or IR_opcode = op_lhu) and To_bit(mem_addr(1)) /= '0' ) then
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd-533-                          -- first step of extension: left-justify byte or halfword -> mdr
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd-613-                        if ( IR_opcode = op_sb and To_bitvector(mem_addr) /= "11" )
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd:614:                          or ( IR_opcode = op_sh and To_bit(mem_addr(1)) /= '1' ) then
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_ctrl-b.vhd-615-                          -- align byte or halfword -> mdr
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_04.vhd-58-               serial_select => serial_a_select,
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_04.vhd:59:               reg_address => internal_addr(1 downto 0),
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_04.vhd-60-               data => internal_data_bus,
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_11_fg_11_07.vhd-81-  boot_ROM : entity work.ROM(behavioral)
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_11_fg_11_07.vhd:82:    port map ( a => internal_addr(15 downto 0),
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_11_fg_11_07.vhd-83-               d => internal_data(7 downto 0),
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_13.vhd-58-    generic map ( prop_delay => 4 ns )
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_13.vhd:59:    port map ( in0 => addr(4), in1 => addr(5),
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_13.vhd-60-               out0 => interface_a_select, out1 => interface_b_select,
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd-74-  main_memory : component memory
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd:75:    port map ( addr => latched_addr(25 downto 0), -- . . . );
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd-76-               -- not in book
##############################################
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd-80-  serial_interface_a : component serial_interface
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd:81:    port map ( clk => sys_clk, address => latched_addr(3 downto 0), -- . . . );
ghdl-0.37+dfsg/testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_17.vhd-82-               -- not in book
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-49-    LIBRARY=*)
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:50:         lib=`echo $arg | sed -e s/LIBRARY=/--work=/`;
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-51-         args="$args $lib";
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-59-    STOP=*)
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:60:         stop=`echo $arg | sed -e s/STOP=/--stop-time=/`;
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-61-         ;;
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-62-    ENTITY=*)
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:63:         entity=`echo $arg | sed -e s/ENTITY=//`
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-64-	 ;;
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-84-        if [ x$entity = "x" ]; then
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:85:            entity=`get_entity $dir/$file`
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-86-	fi
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-108-        if [ x$entity = "x" ]; then
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:109:            entity=`get_entity $dir/$file`
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-110-	fi
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-127-    if [ $do_inter_clean = "yes" ]; then
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:128:#      if [ `expr $test_num % 16` = "0" ]; then
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-129-         delete_lib work;
##############################################
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-136-  # Increment test_num
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh:137:  test_num=`expr $test_num + 1`
ghdl-0.37+dfsg/testsuite/vests/testsuite.sh-138-}
##############################################
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh-16-  -k | --keep-going)  full=y ;;
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh:17:  --dir=*) dirs=`echo $opt | sed -e 's/--dir=//'` ;;
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh:18:  --skip=*) d=`echo $opt | sed -e 's/--skip=//'`
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh:19:            dirs=`echo "" $dirs | sed -e "s/ $d//"` ;;
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh:20:  --start-at=*) d=`echo $opt | sed -e 's/--start-at=//'`
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh:21:            dirs=`echo "" $dirs | sed -e "s/^.* $d//"`
ghdl-0.37+dfsg/testsuite/synth/testsuite.sh-22-            dirs="$d $dirs" ;;
##############################################
ghdl-0.37+dfsg/testsuite/python/testsuite.sh-16-  -k | --keep-going)  full=y ;;
ghdl-0.37+dfsg/testsuite/python/testsuite.sh:17:  --dir=*) dirs=`echo $opt | sed -e 's/--dir=//'` ;;
ghdl-0.37+dfsg/testsuite/python/testsuite.sh:18:  --skip=*) d=`echo $opt | sed -e 's/--skip=//'`
ghdl-0.37+dfsg/testsuite/python/testsuite.sh:19:            dirs=`echo "" $dirs | sed -e "s/ $d//"` ;;
ghdl-0.37+dfsg/testsuite/python/testsuite.sh:20:  --start-at=*) d=`echo $opt | sed -e 's/--start-at=//'`
ghdl-0.37+dfsg/testsuite/python/testsuite.sh:21:            dirs=`echo "" $dirs | sed -e "s/^.* $d//"`
ghdl-0.37+dfsg/testsuite/python/testsuite.sh-22-            dirs="$d $dirs" ;;
##############################################
ghdl-0.37+dfsg/libraries/vendors/compile-intel.ps1-111-$EnableVerbose -and	(Write-Host "Loading modules..." -ForegroundColor Gray	) | Out-Null
ghdl-0.37+dfsg/libraries/vendors/compile-intel.ps1:112:$EnableDebug -and		(Write-Host "  Import-Module $PSScriptRoot\config.psm1 -Verbose:`$$false -Debug:`$$false -ArgumentList `"IntelQuartus`"" -ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/libraries/vendors/compile-intel.ps1-113-Import-Module $PSScriptRoot\config.psm1 -Verbose:$false -Debug:$false -ArgumentList "IntelQuartus"
ghdl-0.37+dfsg/libraries/vendors/compile-intel.ps1:114:$EnableDebug -and		(Write-Host "  Import-Module $PSScriptRoot\shared.psm1 -Verbose:`$$false -Debug:`$$false -ArgumentList @(`"Intel Quartus Prime`", `"$WorkingDir`")" -ForegroundColor DarkGray	) | Out-Null
ghdl-0.37+dfsg/libraries/vendors/compile-intel.ps1-115-Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList @("Intel Quartus Prime", "$WorkingDir")
##############################################
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh-298-for Library in $uvvm_pkgs $uvvm_vips; do
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh:299:		if [ x`eval "echo \\\$COMPILE_$Library"` == x"TRUE" ]; then
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh-300-				VHDLVersion="v08"
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh-301-				# append absolute source path
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh:302:				files=`sed -e '/#/d' < $SourceDirectory/$Library/script/compile_order.txt`
ghdl-0.37+dfsg/libraries/vendors/compile-uvvm.sh-303-				SourceFiles=()
##############################################
ghdl-0.37+dfsg/libraries/Makefile.inc-199-	for i in $(IEEE_SRCS) $(VITAL95_SRCS); do \
ghdl-0.37+dfsg/libraries/Makefile.inc:200:	  b=`basename $$i .vhdl`; \
ghdl-0.37+dfsg/libraries/Makefile.inc-201-	  if [ -f ../../ieee/v87/$$b.o ]; then \
##############################################
ghdl-0.37+dfsg/libraries/Makefile.inc-260-	for i in $(IEEE_SRCS) $(MATH_SRCS) $(VITAL2000_SRCS); do \
ghdl-0.37+dfsg/libraries/Makefile.inc:261:	  b=`basename $$i .vhdl`; \
ghdl-0.37+dfsg/libraries/Makefile.inc-262-	  if [ -f ../../ieee/v93/$$b.o ]; then \
##############################################
ghdl-0.37+dfsg/libraries/Makefile.inc-281-	for i in $(IEEE_SRCS) $(MATH_SRCS) $(VITAL2000_SRCS); do \
ghdl-0.37+dfsg/libraries/Makefile.inc:282:	  b=`basename $$i .vhdl`; \
ghdl-0.37+dfsg/libraries/Makefile.inc-283-	  if [ -f ../../ieee/v93/$$b.o ]; then \
##############################################
ghdl-0.37+dfsg/libraries/Makefile.inc-346-	for i in $(IEEE08_SRCS) $(VITAL2000_SRCS); do \
ghdl-0.37+dfsg/libraries/Makefile.inc:347:	  b=`basename $$i .vhdl`; \
ghdl-0.37+dfsg/libraries/Makefile.inc-348-	  if [ -f ../../ieee/v08/$$b.o ]; then \
##############################################
ghdl-0.37+dfsg/debian/patches/modify-install-paths-77- # Find srcdir
ghdl-0.37+dfsg/debian/patches/modify-install-paths:78: srcdir=`dirname $progname`
ghdl-0.37+dfsg/debian/patches/modify-install-paths-79-@@ -93,6 +94,8 @@ for opt do
##############################################
ghdl-0.37+dfsg/debian/patches/versioning-27-+	elif test -d $(srcdir)/.git \
ghdl-0.37+dfsg/debian/patches/versioning:28: 	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/debian/patches/versioning-29--          sub="s/[(].*[)]/($$desc)/"; \
##############################################
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-164-	if test -d $(srcdir)/.git \
ghdl-0.37+dfsg/.pc/versioning/Makefile.in:165:	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-166-          sub="s/[(].*[)]/($$desc)/"; \
##############################################
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-242-	$(CP) -p $(srcdir)/src/ortho/gcc/*.opt $(gcc_vhdl_dir)
ghdl-0.37+dfsg/.pc/versioning/Makefile.in:243:	base_ver=`cat $(gcc_src_dir)/gcc/BASE-VER`; \
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-244-	case $$base_ver in \
##############################################
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-321-	 $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB)) --LINK=$(CXX) \
ghdl-0.37+dfsg/.pc/versioning/Makefile.in:322:	 `$(LLVM_CONFIG) --ldflags --libs --system-libs` $(LDFLAGS)
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-323-
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-324-llvm-cbindings.o: $(srcdir)/src/ortho/$(llvm_be)/llvm-cbindings.cpp
ghdl-0.37+dfsg/.pc/versioning/Makefile.in:325:	$(CXX) -c `$(LLVM_CONFIG) --includedir --cxxflags` \
ghdl-0.37+dfsg/.pc/versioning/Makefile.in-326-	  $(OPT_FLAGS) -o $@ $<
##############################################
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-166-	elif test -d $(srcdir)/.git \
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in:167:	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-168-	   sub="$$desc"; \
##############################################
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-244-	$(CP) -p $(srcdir)/src/ortho/gcc/*.opt $(gcc_vhdl_dir)
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in:245:	base_ver=`cat $(gcc_src_dir)/gcc/BASE-VER`; \
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-246-	case $$base_ver in \
##############################################
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-323-	 $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB)) --LINK=$(CXX) \
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in:324:	 `$(LLVM_CONFIG) --ldflags --libs --system-libs` $(LDFLAGS)
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-325-
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-326-llvm-cbindings.o: $(srcdir)/src/ortho/$(llvm_be)/llvm-cbindings.cpp
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in:327:	$(CXX) -c `$(LLVM_CONFIG) --includedir --cxxflags` \
ghdl-0.37+dfsg/.pc/fix-build/Makefile.in-328-	  $(OPT_FLAGS) -o $@ $<
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-166-	elif test -d $(srcdir)/.git \
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in:167:	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-168-	   sub="$$desc"; \
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-244-	$(CP) -p $(srcdir)/src/ortho/gcc/*.opt $(gcc_vhdl_dir)
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in:245:	base_ver=`cat $(gcc_src_dir)/gcc/BASE-VER`; \
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-246-	case $$base_ver in \
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-323-	 $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB)) --LINK=$(CXX) \
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in:324:	 `$(LLVM_CONFIG) --ldflags --libs --system-libs` $(LDFLAGS)
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-325-
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-326-llvm-cbindings.o: $(srcdir)/src/ortho/$(llvm_be)/llvm-cbindings.cpp
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in:327:	$(CXX) -c `$(LLVM_CONFIG) --includedir --cxxflags` \
ghdl-0.37+dfsg/.pc/modify-install-paths/Makefile.in-328-	  $(OPT_FLAGS) -o $@ $<
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-59-# Find srcdir
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:60:srcdir=`dirname $progname`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-61-if test x$srcdir = x; then
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-76-{
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:77:  exp_ver=`echo $1 | sed 's/\./v/g'`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:78:  tool_ver=`echo $2 | sed 's/\./v/g'`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-79-  if echo $tool_ver | grep -q "^$exp_ver"; then
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-87-for opt do
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:88:  optarg=`expr x"$opt" : 'x[^=]*=\(.*\)'`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-89-  case "$opt" in
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-90-    CC=*|CFLAGS=*|GNATMAKE=*|LDFLAGS=*|CXX=*)
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:91:      optvar=`expr x"$opt" : 'x\([^=]*\)=.*'`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-92-      eval $optvar=\"$optarg\"
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-194-    # Extract content between single quotes in version.py, to avoid false positives due to LF/CRLF mismatch.
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:195:    if [ "$ghdl_version" != "`sed "s/.*'\(.*\)'.*/\1/g" $libghdl_version`" ]; then
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-196-      echo "Sorry, the version of $libghdl_version is not correct"
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-221-if test x$build = x; then
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:222:  build=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-223-fi
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-227-if test $backend = mcode; then
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:228:  gcc_machine=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-229-  case "$gcc_machine" in
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-260-  # for the path.
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:261:  llvm_version=`$llvm_config --version 2>/dev/null`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-262-  if [ $? != 0 ]; then
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-305-# Define libghdl_version
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:306:libghdl_version=`echo $ghdl_version | sed -e 's/[-.]/_/g'`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-307-
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-344-  done
ghdl-0.37+dfsg/.pc/modify-install-paths/configure:345:  sed_opts=`echo $subst_vars | sed -e "s/\\([a-zA-Z_]*\\)/ -e \"s%@\1@%\$\1%g\"/g"`
ghdl-0.37+dfsg/.pc/modify-install-paths/configure-346-  subst_files="ghdl.gpr Makefile"
##############################################
ghdl-0.37+dfsg/.pc/modify-install-paths/dist/gcc/Make-lang.in-97-	echo "     \"$(exec_prefix)\";" >> tmp-dpaths.ads
ghdl-0.37+dfsg/.pc/modify-install-paths/dist/gcc/Make-lang.in:98:	suffix=`expr @"$(libexecdir)" : @"$(prefix)/\(.*\)"`; \
ghdl-0.37+dfsg/.pc/modify-install-paths/dist/gcc/Make-lang.in-99-	if test x"$$suffix" = x; then suffix="$(libexecdir)"; fi; \
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-167-	elif test -d $(srcdir)/.git \
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in:168:	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-169-	   sub="$$desc"; \
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-245-	$(CP) -p $(srcdir)/src/ortho/gcc/*.opt $(gcc_vhdl_dir)
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in:246:	base_ver=`cat $(gcc_src_dir)/gcc/BASE-VER`; \
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-247-	case $$base_ver in \
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-324-	 $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB)) --LINK=$(CXX) \
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in:325:	 `$(LLVM_CONFIG) --ldflags --libs --system-libs` $(LDFLAGS)
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-326-
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-327-llvm-cbindings.o: $(srcdir)/src/ortho/$(llvm_be)/llvm-cbindings.cpp
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in:328:	$(CXX) -c `$(LLVM_CONFIG) --includedir --cxxflags` \
ghdl-0.37+dfsg/.pc/adjust-compile-flags/Makefile.in-329-	  $(OPT_FLAGS) -o $@ $<
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-60-# Find srcdir
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:61:srcdir=`dirname $progname`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-62-if test x$srcdir = x; then
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-77-{
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:78:  exp_ver=`echo $1 | sed 's/\./v/g'`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:79:  tool_ver=`echo $2 | sed 's/\./v/g'`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-80-  if echo $tool_ver | grep -q "^$exp_ver"; then
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-88-for opt do
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:89:  optarg=`expr x"$opt" : 'x[^=]*=\(.*\)'`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-90-  case "$opt" in
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-91-    CC=*|CFLAGS=*|GNATMAKE=*|LDFLAGS=*|CXX=*)
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:92:      optvar=`expr x"$opt" : 'x\([^=]*\)=.*'`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-93-      eval $optvar=\"$optarg\"
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-199-    # Extract content between single quotes in version.py, to avoid false positives due to LF/CRLF mismatch.
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:200:    if [ "$ghdl_version" != "`sed "s/.*'\(.*\)'.*/\1/g" $libghdl_version`" ]; then
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-201-      echo "Sorry, the version of $libghdl_version is not correct"
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-226-if test x$build = x; then
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:227:  build=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-228-fi
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-232-if test $backend = mcode; then
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:233:  gcc_machine=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-234-  case "$gcc_machine" in
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-265-  # for the path.
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:266:  llvm_version=`$llvm_config --version 2>/dev/null`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-267-  if [ $? != 0 ]; then
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-310-# Define libghdl_version
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:311:libghdl_version=`echo $ghdl_version | sed -e 's/[-.]/_/g'`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-312-
##############################################
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-349-  done
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure:350:  sed_opts=`echo $subst_vars | sed -e "s/\\([a-zA-Z_]*\\)/ -e \"s%@\1@%\$\1%g\"/g"`
ghdl-0.37+dfsg/.pc/adjust-compile-flags/configure-351-  subst_files="ghdl.gpr Makefile"
##############################################
ghdl-0.37+dfsg/Makefile.in-168-	elif test -d $(srcdir)/.git \
ghdl-0.37+dfsg/Makefile.in:169:	   && desc=`cd $(srcdir); git describe --dirty`; then \
ghdl-0.37+dfsg/Makefile.in-170-	   sub="$$desc"; \
##############################################
ghdl-0.37+dfsg/Makefile.in-246-	$(CP) -p $(srcdir)/src/ortho/gcc/*.opt $(gcc_vhdl_dir)
ghdl-0.37+dfsg/Makefile.in:247:	base_ver=`cat $(gcc_src_dir)/gcc/BASE-VER`; \
ghdl-0.37+dfsg/Makefile.in-248-	case $$base_ver in \
##############################################
ghdl-0.37+dfsg/Makefile.in-325-	 $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB)) --LINK=$(CXX) \
ghdl-0.37+dfsg/Makefile.in:326:	 `$(LLVM_CONFIG) --ldflags --libs --system-libs` $(LDFLAGS)
ghdl-0.37+dfsg/Makefile.in-327-
ghdl-0.37+dfsg/Makefile.in-328-llvm-cbindings.o: $(srcdir)/src/ortho/$(llvm_be)/llvm-cbindings.cpp
ghdl-0.37+dfsg/Makefile.in:329:	$(CXX) -c `$(LLVM_CONFIG) --includedir --cxxflags` \
ghdl-0.37+dfsg/Makefile.in-330-	  $(OPT_FLAGS) -o $@ $<
##############################################
ghdl-0.37+dfsg/configure-60-# Find srcdir
ghdl-0.37+dfsg/configure:61:srcdir=`dirname $progname`
ghdl-0.37+dfsg/configure-62-if test x$srcdir = x; then
##############################################
ghdl-0.37+dfsg/configure-77-{
ghdl-0.37+dfsg/configure:78:  exp_ver=`echo $1 | sed 's/\./v/g'`
ghdl-0.37+dfsg/configure:79:  tool_ver=`echo $2 | sed 's/\./v/g'`
ghdl-0.37+dfsg/configure-80-  if echo $tool_ver | grep -q "^$exp_ver"; then
##############################################
ghdl-0.37+dfsg/configure-88-for opt do
ghdl-0.37+dfsg/configure:89:  optarg=`expr x"$opt" : 'x[^=]*=\(.*\)'`
ghdl-0.37+dfsg/configure-90-  case "$opt" in
ghdl-0.37+dfsg/configure-91-    CC=*|CFLAGS=*|GNATMAKE=*|LDFLAGS=*|CXX=*)
ghdl-0.37+dfsg/configure:92:      optvar=`expr x"$opt" : 'x\([^=]*\)=.*'`
ghdl-0.37+dfsg/configure-93-      eval $optvar=\"$optarg\"
##############################################
ghdl-0.37+dfsg/configure-199-    # Extract content between single quotes in version.py, to avoid false positives due to LF/CRLF mismatch.
ghdl-0.37+dfsg/configure:200:    if [ "$ghdl_version" != "`sed "s/.*'\(.*\)'.*/\1/g" $libghdl_version`" ]; then
ghdl-0.37+dfsg/configure-201-      echo "Sorry, the version of $libghdl_version is not correct"
##############################################
ghdl-0.37+dfsg/configure-226-if test x$build = x; then
ghdl-0.37+dfsg/configure:227:  build=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/configure-228-fi
##############################################
ghdl-0.37+dfsg/configure-232-if test $backend = mcode; then
ghdl-0.37+dfsg/configure:233:  gcc_machine=`$CC $CFLAGS -dumpmachine`
ghdl-0.37+dfsg/configure-234-  case "$gcc_machine" in
##############################################
ghdl-0.37+dfsg/configure-265-  # for the path.
ghdl-0.37+dfsg/configure:266:  llvm_version=`$llvm_config --version 2>/dev/null`
ghdl-0.37+dfsg/configure-267-  if [ $? != 0 ]; then
##############################################
ghdl-0.37+dfsg/configure-310-# Define libghdl_version
ghdl-0.37+dfsg/configure:311:libghdl_version=`echo $ghdl_version | sed -e 's/[-.]/_/g'`
ghdl-0.37+dfsg/configure-312-
##############################################
ghdl-0.37+dfsg/configure-349-  done
ghdl-0.37+dfsg/configure:350:  sed_opts=`echo $subst_vars | sed -e "s/\\([a-zA-Z_]*\\)/ -e \"s%@\1@%\$\1%g\"/g"`
ghdl-0.37+dfsg/configure-351-  subst_files="ghdl.gpr Makefile"