===========================================================
                                      .___ __  __   
          _________________  __ __  __| _/|__|/  |_ 
         / ___\_` __ \__  \ |  |  \/ __ | | \\_  __\
        / /_/  >  | \// __ \|  |  / /_/ | |  ||  |  
        \___  /|__|  (____  /____/\____ | |__||__|  
       /_____/            \/           \/           
              grep rough audit - static analysis tool
                  v2.8 written by @Wireghoul
=================================[justanotherhacker.com]===
simulavr-1.0.0+git20160221.e53413b/bootstrap-10-
simulavr-1.0.0+git20160221.e53413b/bootstrap:11:srcdir=`dirname $0`
simulavr-1.0.0+git20160221.e53413b/bootstrap-12-
simulavr-1.0.0+git20160221.e53413b/bootstrap-13-test -z "$srcdir" && srcdir=.
simulavr-1.0.0+git20160221.e53413b/bootstrap:14:progname=`basename $0`
simulavr-1.0.0+git20160221.e53413b/bootstrap:15:top_srcdir=`dirname $0`
simulavr-1.0.0+git20160221.e53413b/bootstrap-16-
##############################################
simulavr-1.0.0+git20160221.e53413b/check-versions.sh.in-100-  @AVR_GCC@ -mmcu=atmega16 -E -o $D $S
simulavr-1.0.0+git20160221.e53413b/check-versions.sh.in:101:  V=`cat $D | grep LIBC_VER | awk '{print $4}' | tr -d '"'`
simulavr-1.0.0+git20160221.e53413b/check-versions.sh.in:102:  T=`cat $D | grep LIBC_DATE | awk '{print $4}' | tr -d '"'`
simulavr-1.0.0+git20160221.e53413b/check-versions.sh.in-103-  rm -rf $P
##############################################
simulavr-1.0.0+git20160221.e53413b/delivery-check-3-# idea from: http://www.linuxjournal.com/content/add-binary-payload-your-shell-scripts
simulavr-1.0.0+git20160221.e53413b/delivery-check:4:CONF=`dirname $0`/delivery-check.conf
simulavr-1.0.0+git20160221.e53413b/delivery-check-5-while true; do
##############################################
simulavr-1.0.0+git20160221.e53413b/delivery-check-265-date "+end=%%Y/%%m/%%d/%%H/%%M/%%S" >> %(wa)s/log/log.cfg
simulavr-1.0.0+git20160221.e53413b/delivery-check:266:RES=`cat $TMPNAME`
simulavr-1.0.0+git20160221.e53413b/delivery-check-267-rm -f $TMPNAME
##############################################
simulavr-1.0.0+git20160221.e53413b/delivery-check-305-date "+end=%%Y/%%m/%%d/%%H/%%M/%%S" >> %(wa)s/log/log.cfg
simulavr-1.0.0+git20160221.e53413b/delivery-check:306:RES=`cat $TMPNAME`
simulavr-1.0.0+git20160221.e53413b/delivery-check-307-rm -f $TMPNAME
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am-77-	    $(DX_LATEX) refman.tex; \
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am:78:	    countdown=`expr $$countdown - 1`; \
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am-79-	  done; \
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am-103-	    $(DX_PDFLATEX) refman.tex; \
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am:104:	    countdown=`expr $$countdown - 1`; \
simulavr-1.0.0+git20160221.e53413b/doc/Makefile.am-105-	  done; \
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/build.rst-24-some examples and the ``simulavr.info`` in documentation directory
simulavr-1.0.0+git20160221.e53413b/doc/build.rst:25:``$prefix/share/doc/simulavr``.
simulavr-1.0.0+git20160221.e53413b/doc/build.rst-26-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/build.rst-44-Same is possible for the verilog extension. avr.vpi will be installed in
simulavr-1.0.0+git20160221.e53413b/doc/build.rst:45:``$prefix/lib/ivl`` if switched on by configure option::
simulavr-1.0.0+git20160221.e53413b/doc/build.rst-46-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst-73-some examples and the ``simulavr.info`` in documentation directory
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst:74:``$prefix/share/doc/simulavr``.
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst-75-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst-90-Same is possible for the verilog extension. avr.vpi will be installed in
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst:91:``$prefix/lib/ivl`` if switched on by configure option::
simulavr-1.0.0+git20160221.e53413b/doc/build_simple.rst-92-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/tclgui.rst-232-the corresponding command-line parameters set.  The following line
simulavr-1.0.0+git20160221.e53413b/doc/tclgui.rst:233:``$sc AddAsyncMember $ui`` is graphic specific and registers an
simulavr-1.0.0+git20160221.e53413b/doc/tclgui.rst-234-update button of the graphic.
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/texinfo.tex-5856-  \catcode `\\=0 \catcode `\{=1 \catcode `\}=2
simulavr-1.0.0+git20160221.e53413b/doc/texinfo.tex:5857:  \catcode `\$=3 \catcode `\&=4 \catcode `\#=6
simulavr-1.0.0+git20160221.e53413b/doc/texinfo.tex-5858-  \catcode `\^=7 \catcode `\_=8 \catcode `\~=\active \let~=\tie
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/verilog.rst-69-simulation, and the top module ``test`` and the environment
simulavr-1.0.0+git20160221.e53413b/doc/verilog.rst:70:variable ``$AVRS`` pointing to the right directory.
simulavr-1.0.0+git20160221.e53413b/doc/verilog.rst-71-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-2-
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:3:THIS=`dirname $0`
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-4-pushd $THIS > /dev/null
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-40-fi
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:41:TMPDIR=`dirname $BUILDDIR`
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-42-
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-46-# print out, what's happen
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:47:CHANGED_FILES=`diff -rq -x CVS -x .buildinfo -x files $BUILDDIR $CVSREPO | grep differ | wc -l`
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-48-message "$CHANGED_FILES file(s) are changed"
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-49-
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:50:NEW_FILES=`diff -rq -x CVS -x .buildinfo -x files $BUILDDIR $CVSREPO | grep "Only in $BUILDDIR" | wc -l`
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-51-message "$NEW_FILES file(s) are new"
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-52-
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:53:OLD_FILES=`diff -rq -x CVS -x .buildinfo -x files $BUILDDIR $CVSREPO | grep "Only in $CVSREPO" | wc -l`
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-54-message "$OLD_FILES file(s) are deleted"
##############################################
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-64-
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:65:for I in `cat $TMPDIR/cvs-out.txt | grep "^\\?" | cut "-d " -f 2`; do
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-66-  message "please add in CVS repo: $I"
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-67-done
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh:68:for I in `cat $TMPDIR/cvs-err.txt | grep "cannot find" | cut "-d " -f 5`; do
simulavr-1.0.0+git20160221.e53413b/doc/web/copy-to-cvs.sh-69-  message "please remove in CVS repo: $I"
##############################################
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h-91- */
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h:92:#define HD44780_CGADDR(addr) \
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h-93-	(0x40 | ((addr) & 0x3f))
##############################################
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h-97- */
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h:98:#define HD44780_DDADDR(addr) \
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/hd44780.h-99-	(0x80 | ((addr) & 0x7f))
##############################################
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/lcd.c-75-      hd44780_wait_ready();
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/lcd.c:76:      hd44780_outcmd(HD44780_DDADDR(0));
simulavr-1.0.0+git20160221.e53413b/examples/stdiodemo/lcd.c-77-
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-77-                AC_MSG_CHECKING([for SWIG version])
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:78:                [swig_version=`$SWIG -version 2>&1 | grep 'SWIG Version' | sed 's/.*\([0-9][0-9]*\.[0-9][0-9]*\.[0-9][0-9]*\).*/\1/g'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-79-                AC_MSG_RESULT([$swig_version])
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-82-                        [required=$1]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:83:                        [required_major=`echo $required | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-84-                        if test -z "$required_major" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-86-                        fi
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:87:                        [required=`echo $required | sed 's/[0-9]*[^0-9]//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:88:                        [required_minor=`echo $required | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-89-                        if test -z "$required_minor" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-91-                        fi
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:92:                        [required=`echo $required | sed 's/[0-9]*[^0-9]//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:93:                        [required_patch=`echo $required | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-94-                        if test -z "$required_patch" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-98-                        [available=$swig_version]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:99:                        [available_major=`echo $available | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-100-                        if test -z "$available_major" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-102-                        fi
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:103:                        [available=`echo $available | sed 's/[0-9]*[^0-9]//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:104:                        [available_minor=`echo $available | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-105-                        if test -z "$available_minor" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-107-                        fi
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:108:                        [available=`echo $available | sed 's/[0-9]*[^0-9]//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:109:                        [available_patch=`echo $available | sed 's/[^0-9].*//'`]
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-110-                        if test -z "$available_patch" ; then
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-119-                                AC_MSG_NOTICE([SWIG executable is '$SWIG'])
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4:120:                                SWIG_LIB=`$SWIG -swiglib`
simulavr-1.0.0+git20160221.e53413b/m4/ac_pkg_swig.m4-121-                                AC_MSG_NOTICE([SWIG library directory is '$SWIG_LIB'])
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-173-#   	      $(DX_LATEX) refman.tex; \
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4:174:#   	      countdown=`expr $$countdown - 1`; \
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-175-#   	  done; \
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-202-#   	      $(DX_PDFLATEX) refman.tex; \
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4:203:#   	      countdown=`expr $$countdown - 1`; \
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-204-#   	  done; \
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-422-            [DX_ENV_APPEND(HAVE_DOT, YES)
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4:423:             DX_ENV_APPEND(DOT_PATH, [`DX_DIRNAME_EXPR($DX_DOT)`])],
simulavr-1.0.0+git20160221.e53413b/m4/ax_prog_doxygen.m4-424-            [DX_ENV_APPEND(HAVE_DOT, NO)])
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/ax_python_module.m4-33-    fi
simulavr-1.0.0+git20160221.e53413b/m4/ax_python_module.m4:34:    PYTHON_NAME=`basename $PYTHON`
simulavr-1.0.0+git20160221.e53413b/m4/ax_python_module.m4-35-    AC_MSG_CHECKING($PYTHON_NAME module: $1)
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-238-    then
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:239:        az_python_prefix=`${PYTHON} -c "import sys; print(sys.prefix)"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-240-        if test -z "$az_python_prefix"
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-243-        fi
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:244:        az_python_execprefix=`${PYTHON} -c "import sys; print(sys.exec_prefix)"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:245:        az_python_version=`$PYTHON -c "import sys; print(sys.version[[:3]])"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-246-        az_python_includespec="-I${az_python_prefix}/include/python${az_python_version}"
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-250-        fi
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:251:        az_python_ccshared=`${PYTHON} -c "import distutils.sysconfig; print(distutils.sysconfig.get_config_var('CFLAGSFORSHARED'))"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-252-        az_python_cspec="${az_python_ccshared} ${az_python_includespec}"
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-360-    fi
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:361:    ax_python_prefix=`${PYTHON} -c "import sys; print(sys.prefix)"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:362:    ax_python_execprefix=`${PYTHON} -c "import sys; print(sys.exec_prefix)"`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-363-    AC_SUBST([PYTHON_PREFIX], ["${ax_python_prefix}"])
##############################################
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-384-_ACEOF
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4:385:        az_python_output=`$PYTHON conftest.py`
simulavr-1.0.0+git20160221.e53413b/m4/az_python.m4-386-        az_python_cc=$?
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-4-REPORT_FILE=$1
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh:5:OUTPUT_FILE=`basename $1 .report`.output
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-6-if [ `uname -s | cut -b-7` = "MINGW32" ]; then
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh:7:  EXPECTED_RESULT=`echo "$2" | cut -d, -f 2`
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-8-else
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh:9:  EXPECTED_RESULT=`echo "$2" | cut -d, -f 1`
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-10-fi
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-29-# write report
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh:30:echo "${TARGET} `tail -n 2 ${REPORT_FILE}.stderr | head -1 | cut "-d " -f 2`" > ${REPORT_FILE}
simulavr-1.0.0+git20160221.e53413b/regress/avrtest/run_test.sh-31-
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc-2677-    if (value_param != NULL) {
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc:2678:      printf("GetParam() = %s", value_param);
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc-2679-    }
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc-2765-  } else {
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc:2766:    printf(", where TypeParam = %s\n", test_case.type_param());
simulavr-1.0.0+git20160221.e53413b/regress/gtest/gtest-1.6.0/src/gtest.cc-2767-  }
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO-83-done	SBRS
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO:84:	SLEEP     --- This will require a special test case to use a small asm program
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO:85:	SPM       --- This will require a special test case to use a small asm program
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO-86-done	STD_Y
##############################################
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO-98-done	SWAP
simulavr-1.0.0+git20160221.e53413b/regress/test_opcodes/TODO:99:	WDR       --- This will require a special test case to use a small asm program
##############################################
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp-321-                        stack->SetReturnPoint(stack->GetStackPointer(), fkt);
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp:322:                        stack->PushAddr(PC);
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp-323-                        cpuCycles = 4; //push needs 4 cycles! (on external RAM +2, this is handled from HWExtRam!)
##############################################
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp-379-void AvrDevice::Reset() {
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp:380:    cPC = PC = fuses->GetResetAddr();
simulavr-1.0.0+git20160221.e53413b/src/avrdevice.cpp-381-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-352-    core->stack->m_ThreadList.OnCall();
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:353:    core->stack->PushAddr(core->PC + 2);
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-354-    core->DebugOnJump();
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-511-    core->stack->m_ThreadList.OnCall();
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:512:    core->stack->PushAddr(core->PC + 1);
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-513-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-707-    core->stack->m_ThreadList.OnCall();
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:708:    core->stack->PushAddr(pc + 1);
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-709-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1207-int avr_op_RCALL::operator()() {
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:1208:    core->stack->PushAddr(core->PC + 1);
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1209-    core->stack->m_ThreadList.OnCall();
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1223-int avr_op_RET::operator()() {
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:1224:    core->PC = core->stack->PopAddr() - 1;
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1225-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1233-int avr_op_RETI::operator()() {
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:1234:    core->PC = core->stack->PopAddr() - 1;
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-1235-    status->I = 1;
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-2226-
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:2227:                         /* opcodes with a 5-bit IO Addr (A) and register bit number (b) as operands */
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-2228-                         decode = opcode & ~(mask_A_5 | mask_reg_bit);
##############################################
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-2235-
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp:2236:                         /* opcodes with a 6-bit IO Addr (A) and register (Rd) as operands */
simulavr-1.0.0+git20160221.e53413b/src/decoder.cpp-2237-                         decode = opcode & ~(mask_A_6 | mask_Rd_5);
##############################################
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-57-    pageSize(pgsz),
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp:58:    nrww_addr(nrww),
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-59-    core(c),
##############################################
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-235-    fuseBits(0xfffffffd),
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp:236:    nrwwAddr(0),
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-237-    nrwwSize(0),
##############################################
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-302-
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp:303:unsigned int AvrFuses::GetResetAddr(void) {
simulavr-1.0.0+git20160221.e53413b/src/flashprog.cpp-304-    if(flagBOOTRST)
##############################################
simulavr-1.0.0+git20160221.e53413b/src/flashprog.h-132-        //! Get reset address
simulavr-1.0.0+git20160221.e53413b/src/flashprog.h:133:        unsigned int GetResetAddr(void);
simulavr-1.0.0+git20160221.e53413b/src/flashprog.h-134-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-118-
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp:119:void HWStackSram::PushAddr(unsigned long addr) {
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-120-    // low byte first, then high byte
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-129-
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp:130:unsigned long HWStackSram::PopAddr() {
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-131-    // high byte first, then low byte
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-213-
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp:214:void ThreeLevelStack::PushAddr(unsigned long addr) {
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-215-    stackArea[2] = stackArea[1];
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-225-
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp:226:unsigned long ThreeLevelStack::PopAddr() {
simulavr-1.0.0+git20160221.e53413b/src/hwstack.cpp-227-    unsigned long val = stackArea[0];
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-109-        virtual unsigned char Pop()=0; //!< Pops one byte from stack
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:110:        virtual void PushAddr(unsigned long addr)=0; //!< Pushs a address to stack
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:111:        virtual unsigned long PopAddr()=0; //!< Pops a address from stack
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-112-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-148-        virtual unsigned char Pop();
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:149:        virtual void PushAddr(unsigned long addr);
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:150:        virtual unsigned long PopAddr();
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-151-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-169-        virtual unsigned char Pop();
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:170:        virtual void PushAddr(unsigned long addr);
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h:171:        virtual unsigned long PopAddr();
simulavr-1.0.0+git20160221.e53413b/src/hwstack.h-172-
##############################################
simulavr-1.0.0+git20160221.e53413b/src/rwmem.cpp-215-    core(_c),
simulavr-1.0.0+git20160221.e53413b/src/rwmem.cpp:216:    addr(_a) {}
simulavr-1.0.0+git20160221.e53413b/src/rwmem.cpp-217-