===========================================================
                                      .___ __  __   
          _________________  __ __  __| _/|__|/  |_ 
         / ___\_` __ \__  \ |  |  \/ __ | | \\_  __\
        / /_/  >  | \// __ \|  |  / /_/ | |  ||  |  
        \___  /|__|  (____  /____/\____ | |__||__|  
       /_____/            \/           \/           
              grep rough audit - static analysis tool
                  v2.8 written by @Wireghoul
=================================[justanotherhacker.com]===
v-sim-3.7.2/m4/ax_check_gl.m4-78-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/m4/ax_check_gl.m4:79:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/m4/ax_check_gl.m4-80-    else
##############################################
v-sim-3.7.2/m4/ax_check_glu.m4-43-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/m4/ax_check_glu.m4:44:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/m4/ax_check_glu.m4-45-    else
##############################################
v-sim-3.7.2/m4/intltool.m4-38-
v-sim-3.7.2/m4/intltool.m4:39:INTLTOOL_REQUIRED_VERSION_AS_INT=`echo $1 | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/m4/intltool.m4-40-INTLTOOL_APPLIED_VERSION=`intltool-update --version | head -1 | cut -d" " -f3`
v-sim-3.7.2/m4/intltool.m4:41:INTLTOOL_APPLIED_VERSION_AS_INT=`echo $INTLTOOL_APPLIED_VERSION | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/m4/intltool.m4-42-if test -n "$1"; then
##############################################
v-sim-3.7.2/m4/intltool.m4-126-fi
v-sim-3.7.2/m4/intltool.m4:127:xgversion="`$XGETTEXT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/m4/intltool.m4:128:mmversion="`$MSGMERGE --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/m4/intltool.m4:129:mfversion="`$MSGFMT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/m4/intltool.m4-130-if test -z "$xgversion" -o -z "$mmversion" -o -z "$mfversion"; then
##############################################
v-sim-3.7.2/m4/intltool.m4-142-else
v-sim-3.7.2/m4/intltool.m4:143:   IT_PERL_VERSION=`$INTLTOOL_PERL -e "printf '%vd', $^V"`
v-sim-3.7.2/m4/intltool.m4-144-   AC_MSG_RESULT([$IT_PERL_VERSION])
##############################################
v-sim-3.7.2/m4/intltool.m4-147-   AC_MSG_CHECKING([for XML::Parser])
v-sim-3.7.2/m4/intltool.m4:148:   if `$INTLTOOL_PERL -e "require XML::Parser" 2>/dev/null`; then
v-sim-3.7.2/m4/intltool.m4-149-       AC_MSG_RESULT([ok])
##############################################
v-sim-3.7.2/m4/libtool.m4-125-done
v-sim-3.7.2/m4/libtool.m4:126:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/m4/libtool.m4-127-])
##############################################
v-sim-3.7.2/m4/libtool.m4-427-m4_define([_LT_CONFIG_STATUS_DECLARE],
v-sim-3.7.2/m4/libtool.m4:428:[$1='`$ECHO "$][$1" | $SED "$delay_single_quote_subst"`'])
v-sim-3.7.2/m4/libtool.m4-429-
##############################################
v-sim-3.7.2/m4/libtool.m4-437-#
v-sim-3.7.2/m4/libtool.m4:438:#    <var>='`$ECHO "$<var>" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/m4/libtool.m4-439-m4_defun([_LT_CONFIG_STATUS_DECLARATIONS],
##############################################
v-sim-3.7.2/m4/libtool.m4-547-]], lt_decl_quote_varnames); do
v-sim-3.7.2/m4/libtool.m4:548:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/m4/libtool.m4-549-    *[[\\\\\\\`\\"\\\$]]*)
v-sim-3.7.2/m4/libtool.m4:550:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
v-sim-3.7.2/m4/libtool.m4-551-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-560-]], lt_decl_dquote_varnames); do
v-sim-3.7.2/m4/libtool.m4:561:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/m4/libtool.m4-562-    *[[\\\\\\\`\\"\\\$]]*)
v-sim-3.7.2/m4/libtool.m4:563:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
v-sim-3.7.2/m4/libtool.m4-564-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-720-
v-sim-3.7.2/m4/libtool.m4:721:# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
v-sim-3.7.2/m4/libtool.m4-722-# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
##############################################
v-sim-3.7.2/m4/libtool.m4-1090-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/m4/libtool.m4:1091:    _LT_TAGVAR(whole_archive_flag_spec, $1)='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/m4/libtool.m4-1092-    m4_case([$1], [F77], [_LT_TAGVAR(compiler_needs_object, $1)=yes],
##############################################
v-sim-3.7.2/m4/libtool.m4-1143-      }]'
v-sim-3.7.2/m4/libtool.m4:1144:  _LT_TAGVAR([lt_cv_aix_libpath_], [$1])=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/m4/libtool.m4-1145-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/m4/libtool.m4-1146-  if test -z "$_LT_TAGVAR([lt_cv_aix_libpath_], [$1])"; then
v-sim-3.7.2/m4/libtool.m4:1147:    _LT_TAGVAR([lt_cv_aix_libpath_], [$1])=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/m4/libtool.m4-1148-  fi],[])
##############################################
v-sim-3.7.2/m4/libtool.m4-1179-if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
v-sim-3.7.2/m4/libtool.m4:1180:   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/m4/libtool.m4-1181-  ECHO='print -r --'
v-sim-3.7.2/m4/libtool.m4:1182:elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/m4/libtool.m4-1183-  ECHO='printf %s\n'
##############################################
v-sim-3.7.2/m4/libtool.m4-1214-    PATH=/empty FPATH=/empty; export PATH FPATH
v-sim-3.7.2/m4/libtool.m4:1215:    test "X`printf %s $ECHO`" = "X$ECHO" \
v-sim-3.7.2/m4/libtool.m4:1216:      || test "X`print -r -- $ECHO`" = "X$ECHO" )])])
v-sim-3.7.2/m4/libtool.m4-1217-
##############################################
v-sim-3.7.2/m4/libtool.m4-1237-   if test "$GCC" = yes; then
v-sim-3.7.2/m4/libtool.m4:1238:     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
v-sim-3.7.2/m4/libtool.m4-1239-   fi
##############################################
v-sim-3.7.2/m4/libtool.m4-1241- /*)
v-sim-3.7.2/m4/libtool.m4:1242:   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
v-sim-3.7.2/m4/libtool.m4-1243-   ;; #(
##############################################
v-sim-3.7.2/m4/libtool.m4-1270-  if AC_TRY_EVAL(ac_compile); then
v-sim-3.7.2/m4/libtool.m4:1271:    case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/m4/libtool.m4-1272-      *ELF-32*)
##############################################
v-sim-3.7.2/m4/libtool.m4-1286-    if test "$lt_cv_prog_gnu_ld" = yes; then
v-sim-3.7.2/m4/libtool.m4:1287:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/m4/libtool.m4-1288-	*32-bit*)
##############################################
v-sim-3.7.2/m4/libtool.m4-1298-    else
v-sim-3.7.2/m4/libtool.m4:1299:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/m4/libtool.m4-1300-	*32-bit*)
##############################################
v-sim-3.7.2/m4/libtool.m4-1650-    # And add a safety zone
v-sim-3.7.2/m4/libtool.m4:1651:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/m4/libtool.m4:1652:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/m4/libtool.m4-1653-    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-1683-    if test -n "$kargmax"; then
v-sim-3.7.2/m4/libtool.m4:1684:      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[[	 ]]//'`
v-sim-3.7.2/m4/libtool.m4-1685-    else
##############################################
v-sim-3.7.2/m4/libtool.m4-1691-    if test -n "$lt_cv_sys_max_cmd_len"; then
v-sim-3.7.2/m4/libtool.m4:1692:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/m4/libtool.m4:1693:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/m4/libtool.m4-1694-    else
##############################################
v-sim-3.7.2/m4/libtool.m4-1703-      # we can't tell.
v-sim-3.7.2/m4/libtool.m4:1704:      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
v-sim-3.7.2/m4/libtool.m4-1705-	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
##############################################
v-sim-3.7.2/m4/libtool.m4-1707-      do
v-sim-3.7.2/m4/libtool.m4:1708:        i=`expr $i + 1`
v-sim-3.7.2/m4/libtool.m4-1709-        teststring=$teststring$teststring
##############################################
v-sim-3.7.2/m4/libtool.m4-1711-      # Only check the string length outside the loop.
v-sim-3.7.2/m4/libtool.m4:1712:      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
v-sim-3.7.2/m4/libtool.m4-1713-      teststring=
##############################################
v-sim-3.7.2/m4/libtool.m4-1716-      # linker.  It appears as though 1/2 is a usable value.
v-sim-3.7.2/m4/libtool.m4:1717:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
v-sim-3.7.2/m4/libtool.m4-1718-    fi
##############################################
v-sim-3.7.2/m4/libtool.m4-2041-  if test "$hard_links" = no; then
v-sim-3.7.2/m4/libtool.m4:2042:    AC_MSG_WARN([`$CC' does not support `-c -o', so `make -j' may be unsafe])
v-sim-3.7.2/m4/libtool.m4-2043-    need_locks=warn
##############################################
v-sim-3.7.2/m4/libtool.m4-2172-  esac
v-sim-3.7.2/m4/libtool.m4:2173:  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
v-sim-3.7.2/m4/libtool.m4-2174-  case $lt_search_path_spec in
##############################################
v-sim-3.7.2/m4/libtool.m4-2179-    # okay in the real world where ";" in dirpaths is itself problematic.
v-sim-3.7.2/m4/libtool.m4:2180:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
v-sim-3.7.2/m4/libtool.m4-2181-    ;;
v-sim-3.7.2/m4/libtool.m4-2182-  *)
v-sim-3.7.2/m4/libtool.m4:2183:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/m4/libtool.m4-2184-    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2188-  lt_tmp_lt_search_path_spec=
v-sim-3.7.2/m4/libtool.m4:2189:  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
v-sim-3.7.2/m4/libtool.m4-2190-  for lt_sys_path in $lt_search_path_spec; do
##############################################
v-sim-3.7.2/m4/libtool.m4-2223-  esac
v-sim-3.7.2/m4/libtool.m4:2224:  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
v-sim-3.7.2/m4/libtool.m4-2225-else
##############################################
v-sim-3.7.2/m4/libtool.m4-2311-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/m4/libtool.m4:2312:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([[^/]]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/m4/libtool.m4-2313-    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2347-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/m4/libtool.m4:2348:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/m4/libtool.m4:2349:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/m4/libtool.m4:2350:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/m4/libtool.m4-2351-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/m4/libtool.m4-2356-      fi'
v-sim-3.7.2/m4/libtool.m4:2357:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/m4/libtool.m4-2358-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/m4/libtool.m4-2364-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/m4/libtool.m4:2365:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/m4/libtool.m4-2366-m4_if([$1], [],[
##############################################
v-sim-3.7.2/m4/libtool.m4-2370-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/m4/libtool.m4:2371:      soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/m4/libtool.m4-2372-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2374-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/m4/libtool.m4:2375:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/m4/libtool.m4-2376-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2383-    libname_spec='$name'
v-sim-3.7.2/m4/libtool.m4:2384:    soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/m4/libtool.m4-2385-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/m4/libtool.m4-2395-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/m4/libtool.m4:2396:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/m4/libtool.m4-2397-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/m4/libtool.m4-2400-      # Convert to MSYS style.
v-sim-3.7.2/m4/libtool.m4:2401:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([[a-zA-Z]]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/m4/libtool.m4-2402-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2406-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/m4/libtool.m4:2407:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/m4/libtool.m4:2408:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/m4/libtool.m4:2409:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/m4/libtool.m4-2410-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-2414-        # It is most probably a Windows format PATH.
v-sim-3.7.2/m4/libtool.m4:2415:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/m4/libtool.m4-2416-      else
v-sim-3.7.2/m4/libtool.m4:2417:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/m4/libtool.m4-2418-      fi
##############################################
v-sim-3.7.2/m4/libtool.m4-2424-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/m4/libtool.m4:2425:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/m4/libtool.m4:2426:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/m4/libtool.m4:2427:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/m4/libtool.m4-2428-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/m4/libtool.m4-2429-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/m4/libtool.m4:2430:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/m4/libtool.m4-2431-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/m4/libtool.m4-2438-    # Assume MSVC wrapper
v-sim-3.7.2/m4/libtool.m4:2439:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/m4/libtool.m4-2440-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/m4/libtool.m4-2455-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/m4/libtool.m4:2456:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/m4/libtool.m4-2457-m4_if([$1], [],[
##############################################
v-sim-3.7.2/m4/libtool.m4-2673-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/m4/libtool.m4:2674:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \[$]2)); skip = 1; } { if (!skip) print \[$]0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/m4/libtool.m4-2675-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/m4/libtool.m4-2747-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/m4/libtool.m4:2748:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/m4/libtool.m4-2749-    case $host_os in
##############################################
v-sim-3.7.2/m4/libtool.m4-2964-	"file_magic "*)
v-sim-3.7.2/m4/libtool.m4:2965:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/m4/libtool.m4-2966-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/m4/libtool.m4-3047-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/m4/libtool.m4:3048:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/m4/libtool.m4-3049-  *)
v-sim-3.7.2/m4/libtool.m4:3050:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/m4/libtool.m4-3051-  esac
##############################################
v-sim-3.7.2/m4/libtool.m4-3056-      # Canonicalize the pathname of ld
v-sim-3.7.2/m4/libtool.m4:3057:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/m4/libtool.m4-3058-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/m4/libtool.m4:3059:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/m4/libtool.m4-3060-      done
##############################################
v-sim-3.7.2/m4/libtool.m4-3087-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/m4/libtool.m4:3088:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/m4/libtool.m4-3089-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3127-[# I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/m4/libtool.m4:3128:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/m4/libtool.m4-3129-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3322-openbsd*)
v-sim-3.7.2/m4/libtool.m4:3323:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/m4/libtool.m4-3324-    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|\.so|_pic\.a)$'
##############################################
v-sim-3.7.2/m4/libtool.m4-3386-    else
v-sim-3.7.2/m4/libtool.m4:3387:      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[[\1]]\/[[\1]]\/g;/g"`
v-sim-3.7.2/m4/libtool.m4-3388-    fi
##############################################
v-sim-3.7.2/m4/libtool.m4-3432-	# Tru64's nm complains that /dev/null is an invalid object file
v-sim-3.7.2/m4/libtool.m4:3433:	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/m4/libtool.m4-3434-	*/dev/null* | *'Invalid file or object type'*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3438-	*)
v-sim-3.7.2/m4/libtool.m4:3439:	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/m4/libtool.m4-3440-	  */dev/null*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3464-    AC_CHECK_TOOLS(DUMPBIN, [dumpbin "link -dump"], :)
v-sim-3.7.2/m4/libtool.m4:3465:    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/m4/libtool.m4-3466-    *COFF*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3523-  # decide which to use based on capabilities of $DLLTOOL
v-sim-3.7.2/m4/libtool.m4:3524:  case `$DLLTOOL --help 2>&1` in
v-sim-3.7.2/m4/libtool.m4-3525-  *--identify-strict*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3682-# If we're using GNU nm, then use its standard symbol codes.
v-sim-3.7.2/m4/libtool.m4:3683:case `$NM -V 2>&1` in
v-sim-3.7.2/m4/libtool.m4-3684-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/m4/libtool.m4-3700-mingw*)
v-sim-3.7.2/m4/libtool.m4:3701:  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
v-sim-3.7.2/m4/libtool.m4-3702-  ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-3753-    nlist=conftest.nm
v-sim-3.7.2/m4/libtool.m4:3754:    if AC_TRY_EVAL(NM conftest.$ac_objext \| "$lt_cv_sys_global_symbol_pipe" \> $nlist) && test -s "$nlist"; then
v-sim-3.7.2/m4/libtool.m4-3755-      # Try sorting and uniquifying the output.
##############################################
v-sim-3.7.2/m4/libtool.m4-4091-	  *)
v-sim-3.7.2/m4/libtool.m4:4092:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/m4/libtool.m4-4093-	    *Sun\ C*)
##############################################
v-sim-3.7.2/m4/libtool.m4-4396-      *)
v-sim-3.7.2/m4/libtool.m4:4397:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/m4/libtool.m4-4398-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [[1-7]].* | *Sun*Fortran*\ 8.[[0-3]]*)
##############################################
v-sim-3.7.2/m4/libtool.m4-4674-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/m4/libtool.m4:4675:	case `$LD -v 2>&1` in
v-sim-3.7.2/m4/libtool.m4-4676-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-4706-    supports_anon_versioning=no
v-sim-3.7.2/m4/libtool.m4:4707:    case `$LD -v 2>&1` in
v-sim-3.7.2/m4/libtool.m4-4708-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-4775-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/m4/libtool.m4:4776:	_LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/m4/libtool.m4-4777-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/m4/libtool.m4-4803-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/m4/libtool.m4:4804:      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/m4/libtool.m4:4805:      _LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/m4/libtool.m4-4806-      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-4821-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/m4/libtool.m4:4822:	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-4823-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/m4/libtool.m4-4826-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/m4/libtool.m4:4827:	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-4828-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-4841-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/m4/libtool.m4:4842:	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-4843-	  _LT_TAGVAR(compiler_needs_object, $1)=yes
##############################################
v-sim-3.7.2/m4/libtool.m4-4845-	esac
v-sim-3.7.2/m4/libtool.m4:4846:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/m4/libtool.m4-4847-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/m4/libtool.m4:4848:	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-4849-	  _LT_TAGVAR(compiler_needs_object, $1)=yes
##############################################
v-sim-3.7.2/m4/libtool.m4-4913-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/m4/libtool.m4:4914:      case `$LD -v 2>&1` in
v-sim-3.7.2/m4/libtool.m4-4915-        *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.1[[0-5]].*)
##############################################
v-sim-3.7.2/m4/libtool.m4-5036-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/m4/libtool.m4:5037:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/m4/libtool.m4-5038-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/m4/libtool.m4-5086-        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/m4/libtool.m4:5087:        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/m4/libtool.m4-5088-      else
##############################################
v-sim-3.7.2/m4/libtool.m4-5152-	_LT_TAGVAR(archive_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/m4/libtool.m4:5153:	_LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/m4/libtool.m4-5154-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/m4/libtool.m4-5189-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/m4/libtool.m4:5190:	_LT_TAGVAR(archive_cmds, $1)='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/m4/libtool.m4-5191-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/m4/libtool.m4-5327-      if test "$GCC" = yes; then
v-sim-3.7.2/m4/libtool.m4:5328:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-5329-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/m4/libtool.m4-5350-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/m4/libtool.m4:5351:          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/m4/libtool.m4-5352-	fi
v-sim-3.7.2/m4/libtool.m4-5353-      else
v-sim-3.7.2/m4/libtool.m4:5354:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4:5355:	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/m4/libtool.m4-5356-      fi
##############################################
v-sim-3.7.2/m4/libtool.m4-5390-	_LT_TAGVAR(hardcode_direct_absolute, $1)=yes
v-sim-3.7.2/m4/libtool.m4:5391:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/m4/libtool.m4-5392-	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/m4/libtool.m4-5423-	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/m4/libtool.m4:5424:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-5425-      else
v-sim-3.7.2/m4/libtool.m4-5426-	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
v-sim-3.7.2/m4/libtool.m4:5427:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-5428-      fi
##############################################
v-sim-3.7.2/m4/libtool.m4-5436-	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/m4/libtool.m4:5437:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-5438-	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/m4/libtool.m4-5440-	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
v-sim-3.7.2/m4/libtool.m4:5441:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4:5442:	_LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/m4/libtool.m4:5443:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/m4/libtool.m4-5444-
##############################################
v-sim-3.7.2/m4/libtool.m4-5459-      else
v-sim-3.7.2/m4/libtool.m4:5460:	case `$CC -V 2>&1` in
v-sim-3.7.2/m4/libtool.m4-5461-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/m4/libtool.m4-5652-	  _LT_TAGVAR(allow_undefined_flag, $1)=
v-sim-3.7.2/m4/libtool.m4:5653:	  if AC_TRY_EVAL(_LT_TAGVAR(archive_cmds, $1) 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1)
v-sim-3.7.2/m4/libtool.m4-5654-	  then
##############################################
v-sim-3.7.2/m4/libtool.m4-5952-        # ancient GNU ld didn't support --whole-archive et. al.
v-sim-3.7.2/m4/libtool.m4:5953:        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
v-sim-3.7.2/m4/libtool.m4-5954-	  $GREP 'no-whole-archive' > /dev/null; then
##############################################
v-sim-3.7.2/m4/libtool.m4-6035-          # below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/m4/libtool.m4:6036:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/m4/libtool.m4-6037-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/m4/libtool.m4-6085-
v-sim-3.7.2/m4/libtool.m4:6086:          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/m4/libtool.m4-6087-        else
##############################################
v-sim-3.7.2/m4/libtool.m4-6151-	  _LT_TAGVAR(archive_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/m4/libtool.m4:6152:	  _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/m4/libtool.m4-6153-	      $SED -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/m4/libtool.m4-6192-	    # is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/m4/libtool.m4:6193:	    _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/m4/libtool.m4-6194-	      cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/m4/libtool.m4-6275-            # dependencies.
v-sim-3.7.2/m4/libtool.m4:6276:            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/m4/libtool.m4-6277-            ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6340-	    # dependencies.
v-sim-3.7.2/m4/libtool.m4:6341:	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/m4/libtool.m4-6342-	    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6376-	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/m4/libtool.m4:6377:	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/m4/libtool.m4:6378:	_LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/m4/libtool.m4-6379-	;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6383-	    # SGI C++
v-sim-3.7.2/m4/libtool.m4:6384:	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-6385-
##############################################
v-sim-3.7.2/m4/libtool.m4-6394-	      if test "$with_gnu_ld" = no; then
v-sim-3.7.2/m4/libtool.m4:6395:	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-6396-	      else
v-sim-3.7.2/m4/libtool.m4:6397:	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` -o $lib'
v-sim-3.7.2/m4/libtool.m4-6398-	      fi
##############################################
v-sim-3.7.2/m4/libtool.m4-6415-	    # to its proper name (with version) after linking.
v-sim-3.7.2/m4/libtool.m4:6416:	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/m4/libtool.m4:6417:	    _LT_TAGVAR(archive_expsym_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
v-sim-3.7.2/m4/libtool.m4-6418-	    # Commands to make compiler produce verbose output that lists
##############################################
v-sim-3.7.2/m4/libtool.m4-6425-	    # dependencies.
v-sim-3.7.2/m4/libtool.m4:6426:	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/m4/libtool.m4-6427-
##############################################
v-sim-3.7.2/m4/libtool.m4-6440-	    # earlier do not add the objects themselves.
v-sim-3.7.2/m4/libtool.m4:6441:	    case `$CC -V 2>&1` in
v-sim-3.7.2/m4/libtool.m4-6442-	      *"Version 7."*)
##############################################
v-sim-3.7.2/m4/libtool.m4-6461-            # Portland Group C++ compiler
v-sim-3.7.2/m4/libtool.m4:6462:	    case `$CC -V` in
v-sim-3.7.2/m4/libtool.m4-6463-	    *pgCC\ [[1-5]].* | *pgcpp\ [[1-5]].*)
##############################################
v-sim-3.7.2/m4/libtool.m4-6466-		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
v-sim-3.7.2/m4/libtool.m4:6467:		compile_command="$compile_command `find $tpldir -name \*.o | sort | $NL2SP`"'
v-sim-3.7.2/m4/libtool.m4-6468-	      _LT_TAGVAR(old_archive_cmds, $1)='tpldir=Template.dir~
##############################################
v-sim-3.7.2/m4/libtool.m4-6470-		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
v-sim-3.7.2/m4/libtool.m4:6471:		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | sort | $NL2SP`~
v-sim-3.7.2/m4/libtool.m4-6472-		$RANLIB $oldlib'
##############################################
v-sim-3.7.2/m4/libtool.m4-6475-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/m4/libtool.m4:6476:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
v-sim-3.7.2/m4/libtool.m4-6477-	      _LT_TAGVAR(archive_expsym_cmds, $1)='tpldir=Template.dir~
##############################################
v-sim-3.7.2/m4/libtool.m4-6479-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/m4/libtool.m4:6480:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/m4/libtool.m4-6481-	      ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6489-	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
v-sim-3.7.2/m4/libtool.m4:6490:	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-6491-            ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6508-	    # dependencies.
v-sim-3.7.2/m4/libtool.m4:6509:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "X$list" | $Xsed'
v-sim-3.7.2/m4/libtool.m4-6510-	    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6523-	  *)
v-sim-3.7.2/m4/libtool.m4:6524:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/m4/libtool.m4-6525-	    *Sun\ C*)
##############################################
v-sim-3.7.2/m4/libtool.m4-6530-	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
v-sim-3.7.2/m4/libtool.m4:6531:	      _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/m4/libtool.m4-6532-	      _LT_TAGVAR(compiler_needs_object, $1)=yes
##############################################
v-sim-3.7.2/m4/libtool.m4-6600-	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
v-sim-3.7.2/m4/libtool.m4:6601:	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/m4/libtool.m4-6602-	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
##############################################
v-sim-3.7.2/m4/libtool.m4-6619-	    # to its proper name (with version) after linking.
v-sim-3.7.2/m4/libtool.m4:6620:	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/m4/libtool.m4-6621-
##############################################
v-sim-3.7.2/m4/libtool.m4-6640-	        _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/m4/libtool.m4:6641:	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && func_echo_all "${wl}-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-6642-	        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/m4/libtool.m4-6645-	        _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
v-sim-3.7.2/m4/libtool.m4:6646:	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4:6647:	        _LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
v-sim-3.7.2/m4/libtool.m4-6648-	          echo "-hidden">> $lib.exp~
v-sim-3.7.2/m4/libtool.m4:6649:	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~
v-sim-3.7.2/m4/libtool.m4-6650-	          $RM $lib.exp'
##############################################
v-sim-3.7.2/m4/libtool.m4-6664-	    # dependencies.
v-sim-3.7.2/m4/libtool.m4:6665:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/m4/libtool.m4-6666-	    ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6671-	        osf3*)
v-sim-3.7.2/m4/libtool.m4:6672:	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-6673-		  ;;
v-sim-3.7.2/m4/libtool.m4-6674-	        *)
v-sim-3.7.2/m4/libtool.m4:6675:	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/m4/libtool.m4-6676-		  ;;
##############################################
v-sim-3.7.2/m4/libtool.m4-6924-  case ${2} in
v-sim-3.7.2/m4/libtool.m4:6925:  .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
v-sim-3.7.2/m4/libtool.m4:6926:  *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
v-sim-3.7.2/m4/libtool.m4-6927-  esac
##############################################
v-sim-3.7.2/m4/libtool.m4-7012-
v-sim-3.7.2/m4/libtool.m4:7013:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/m4/libtool.m4-7014-    case ${prev}${p} in
##############################################
v-sim-3.7.2/m4/libtool.m4-7110-linux*)
v-sim-3.7.2/m4/libtool.m4:7111:  case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/m4/libtool.m4-7112-  *Sun\ C*)
##############################################
v-sim-3.7.2/m4/libtool.m4-7161-if test -n "${_LT_TAGVAR(compiler_lib_search_path, $1)}"; then
v-sim-3.7.2/m4/libtool.m4:7162: _LT_TAGVAR(compiler_lib_search_dirs, $1)=`echo " ${_LT_TAGVAR(compiler_lib_search_path, $1)}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/m4/libtool.m4-7163-fi
##############################################
v-sim-3.7.2/m4/libtool.m4-7773-    test $lt_ac_count -gt 10 && break
v-sim-3.7.2/m4/libtool.m4:7774:    lt_ac_count=`expr $lt_ac_count + 1`
v-sim-3.7.2/m4/libtool.m4-7775-    if test $lt_ac_count -gt $lt_ac_max; then
##############################################
v-sim-3.7.2/m4/libtool.m4-7844-# ------------------------------------------------------
v-sim-3.7.2/m4/libtool.m4:7845:# In `$cfgfile', look for function FUNCNAME delimited by `^FUNCNAME ()$' and
v-sim-3.7.2/m4/libtool.m4-7846-# '^} FUNCNAME ', and replace its body with REPLACEMENT-BODY.
##############################################
v-sim-3.7.2/m4/ltsugar.m4-67-m4_define([lt_combine],
v-sim-3.7.2/m4/ltsugar.m4:68:[m4_if(m4_eval([$# > 3]), [1],
v-sim-3.7.2/m4/ltsugar.m4-69-       [m4_pushdef([_Lt_sep], [m4_define([_Lt_sep], m4_defn([lt_car]))])]]dnl
##############################################
v-sim-3.7.2/m4/python.m4-5-[AC_REQUIRE([AM_PATH_PYTHON])
v-sim-3.7.2/m4/python.m4:6:py_mod_var=`echo $1['_']$2 | sed 'y%./+-%__p_%'`
v-sim-3.7.2/m4/python.m4-7-AC_MSG_CHECKING(for ifelse([$2],[],,[$2 in ])python module $1)
##############################################
v-sim-3.7.2/m4/python.m4-26-])
v-sim-3.7.2/m4/python.m4:27:py_val=`eval "echo \`echo '$py_cv_mod_'$py_mod_var\`"`
v-sim-3.7.2/m4/python.m4-28-if test "x$py_val" != xno; then
##############################################
v-sim-3.7.2/m4/python.m4-45-dnl deduce PYTHON_INCLUDES
v-sim-3.7.2/m4/python.m4:46:py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/m4/python.m4:47:py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/m4/python.m4-48-if test -x "$PYTHON-config"; then
v-sim-3.7.2/m4/python.m4:49:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/m4/python.m4-50-else
##############################################
v-sim-3.7.2/aclocal.m4-224-	GLIB_PATH_PROG_WITH_TEST(MSGFMT, msgfmt,
v-sim-3.7.2/aclocal.m4:225:	  [test -z "`$ac_dir/$ac_word -h 2>&1 | grep 'dv '`"], no)dnl
v-sim-3.7.2/aclocal.m4-226-	if test "$MSGFMT" != "no"; then
##############################################
v-sim-3.7.2/aclocal.m4-245-	  GLIB_PATH_PROG_WITH_TEST(XGETTEXT, xgettext,
v-sim-3.7.2/aclocal.m4:246:	    [test -z "`$ac_dir/$ac_word -h 2>&1 | grep '(HELP)'`"], :)
v-sim-3.7.2/aclocal.m4-247-	  AC_TRY_LINK(, [extern int _nl_msg_cat_cntr;
##############################################
v-sim-3.7.2/aclocal.m4-397-   if test "x$srcdir" != "x."; then
v-sim-3.7.2/aclocal.m4:398:     if test "x`echo $srcdir | sed 's@/.*@@'`" = "x"; then
v-sim-3.7.2/aclocal.m4-399-       posrcprefix="$srcdir/"
##############################################
v-sim-3.7.2/aclocal.m4-421-test "x$exec_prefix" = xNONE && exec_prefix=$prefix
v-sim-3.7.2/aclocal.m4:422:datarootdir=`eval echo "${datarootdir}"`
v-sim-3.7.2/aclocal.m4-423-if test "x$CATOBJEXT" = "x.mo" ; then
v-sim-3.7.2/aclocal.m4:424:  localedir=`eval echo "${libdir}/locale"`
v-sim-3.7.2/aclocal.m4-425-else
v-sim-3.7.2/aclocal.m4:426:  localedir=`eval echo "${datadir}/locale"`
v-sim-3.7.2/aclocal.m4-427-fi
##############################################
v-sim-3.7.2/aclocal.m4-567-    PKG_CHECK_EXISTS([$3],
v-sim-3.7.2/aclocal.m4:568:                     [pkg_cv_[]$1=`$PKG_CONFIG --[]$2 "$3" 2>/dev/null`
v-sim-3.7.2/aclocal.m4-569-		      test "x$?" != "x0" && pkg_failed=yes ],
##############################################
v-sim-3.7.2/aclocal.m4-616-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/aclocal.m4:617:	        $1[]_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "$2" 2>&1`
v-sim-3.7.2/aclocal.m4-618-        else 
v-sim-3.7.2/aclocal.m4:619:	        $1[]_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "$2" 2>&1`
v-sim-3.7.2/aclocal.m4-620-        fi
##############################################
v-sim-3.7.2/aclocal.m4-703-# $ac_aux_dir to `$srcdir/foo'.  In other projects, it is set to
v-sim-3.7.2/aclocal.m4:704:# `$srcdir', `$srcdir/..', or `$srcdir/../..'.
v-sim-3.7.2/aclocal.m4-705-#
##############################################
v-sim-3.7.2/aclocal.m4-727-# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
v-sim-3.7.2/aclocal.m4:728:#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
v-sim-3.7.2/aclocal.m4-729-# and then we would define $MISSING as
##############################################
v-sim-3.7.2/aclocal.m4-743-# expand $ac_aux_dir to an absolute path
v-sim-3.7.2/aclocal.m4:744:am_aux_dir=`cd $ac_aux_dir && pwd`
v-sim-3.7.2/aclocal.m4-745-])
##############################################
v-sim-3.7.2/aclocal.m4-845-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/aclocal.m4:846:     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
v-sim-3.7.2/aclocal.m4-847-  fi
##############################################
v-sim-3.7.2/aclocal.m4-994-    # Strip MF so we end up with the name of the file.
v-sim-3.7.2/aclocal.m4:995:    mf=`echo "$mf" | sed -e 's/:.*$//'`
v-sim-3.7.2/aclocal.m4-996-    # Check whether this is an Automake generated Makefile or not.
##############################################
v-sim-3.7.2/aclocal.m4-1003-    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
v-sim-3.7.2/aclocal.m4:1004:      dirpart=`AS_DIRNAME("$mf")`
v-sim-3.7.2/aclocal.m4-1005-    else
##############################################
v-sim-3.7.2/aclocal.m4-1009-    # from the Makefile without running `make'.
v-sim-3.7.2/aclocal.m4:1010:    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
v-sim-3.7.2/aclocal.m4-1011-    test -z "$DEPDIR" && continue
v-sim-3.7.2/aclocal.m4:1012:    am__include=`sed -n 's/^am__include = //p' < "$mf"`
v-sim-3.7.2/aclocal.m4-1013-    test -z "am__include" && continue
v-sim-3.7.2/aclocal.m4:1014:    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
v-sim-3.7.2/aclocal.m4-1015-    # When using ansi2knr, U may be empty or an underscore; expand it
v-sim-3.7.2/aclocal.m4:1016:    U=`sed -n 's/^U = //p' < "$mf"`
v-sim-3.7.2/aclocal.m4-1017-    # Find all dependency output files, they are included files with
##############################################
v-sim-3.7.2/aclocal.m4-1025-      test -f "$dirpart/$file" && continue
v-sim-3.7.2/aclocal.m4:1026:      fdir=`AS_DIRNAME(["$file"])`
v-sim-3.7.2/aclocal.m4-1027-      AS_MKDIR_P([$dirpart/$fdir])
##############################################
v-sim-3.7.2/aclocal.m4-1092-AC_REQUIRE([AC_PROG_INSTALL])dnl
v-sim-3.7.2/aclocal.m4:1093:if test "`cd $srcdir && pwd`" != "`pwd`"; then
v-sim-3.7.2/aclocal.m4-1094-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
##############################################
v-sim-3.7.2/aclocal.m4-1194-    * )
v-sim-3.7.2/aclocal.m4:1195:      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
v-sim-3.7.2/aclocal.m4-1196-  esac
v-sim-3.7.2/aclocal.m4-1197-done
v-sim-3.7.2/aclocal.m4:1198:echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
v-sim-3.7.2/aclocal.m4-1199-
##############################################
v-sim-3.7.2/aclocal.m4-1272-# Ignore all kinds of additional output from `make'.
v-sim-3.7.2/aclocal.m4:1273:case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/aclocal.m4-1274-*the\ am__doit\ target*)
##############################################
v-sim-3.7.2/aclocal.m4-1282-   echo '.include "confinc"' > confmf
v-sim-3.7.2/aclocal.m4:1283:   case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/aclocal.m4-1284-   *the\ am__doit\ target*)
##############################################
v-sim-3.7.2/aclocal.m4-1488-  AC_CACHE_CHECK([for $am_display_PYTHON version], [am_cv_python_version],
v-sim-3.7.2/aclocal.m4:1489:    [am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[[:3]])"`])
v-sim-3.7.2/aclocal.m4-1490-  AC_SUBST([PYTHON_VERSION], [$am_cv_python_version])
##############################################
v-sim-3.7.2/aclocal.m4-1503-  AC_CACHE_CHECK([for $am_display_PYTHON platform], [am_cv_python_platform],
v-sim-3.7.2/aclocal.m4:1504:    [am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`])
v-sim-3.7.2/aclocal.m4-1505-  AC_SUBST([PYTHON_PLATFORM], [$am_cv_python_platform])
##############################################
v-sim-3.7.2/aclocal.m4-1522-     fi
v-sim-3.7.2/aclocal.m4:1523:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/aclocal.m4-1524-     case $am_cv_python_pythondir in
v-sim-3.7.2/aclocal.m4-1525-     $am_py_prefix*)
v-sim-3.7.2/aclocal.m4:1526:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/aclocal.m4:1527:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/aclocal.m4-1528-       ;;
##############################################
v-sim-3.7.2/aclocal.m4-1557-     fi
v-sim-3.7.2/aclocal.m4:1558:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/aclocal.m4-1559-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/aclocal.m4-1560-     $am_py_exec_prefix*)
v-sim-3.7.2/aclocal.m4:1561:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/aclocal.m4:1562:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/aclocal.m4-1563-       ;;
##############################################
v-sim-3.7.2/aclocal.m4-1661-if (
v-sim-3.7.2/aclocal.m4:1662:   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
v-sim-3.7.2/aclocal.m4-1663-   if test "$[*]" = "X"; then
v-sim-3.7.2/aclocal.m4-1664-      # -L didn't work.
v-sim-3.7.2/aclocal.m4:1665:      set X `ls -t "$srcdir/configure" conftest.file`
v-sim-3.7.2/aclocal.m4-1666-   fi
##############################################
v-sim-3.7.2/Makefile.in-71-	ps-recursive uninstall-recursive
v-sim-3.7.2/Makefile.in:72:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/Makefile.in-73-am__vpath_adj = case $$p in \
v-sim-3.7.2/Makefile.in:74:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/Makefile.in-75-    *) f=$$p;; \
v-sim-3.7.2/Makefile.in-76-  esac;
v-sim-3.7.2/Makefile.in:77:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/Makefile.in-78-am__install_max = 40
v-sim-3.7.2/Makefile.in-79-am__nobase_strip_setup = \
v-sim-3.7.2/Makefile.in:80:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/Makefile.in-81-am__nobase_strip = \
##############################################
v-sim-3.7.2/Makefile.in-124-  while test -n "$$dir1"; do \
v-sim-3.7.2/Makefile.in:125:    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
v-sim-3.7.2/Makefile.in-126-    if test "$$first" != "."; then \
v-sim-3.7.2/Makefile.in-127-      if test "$$first" = ".."; then \
v-sim-3.7.2/Makefile.in:128:        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
v-sim-3.7.2/Makefile.in:129:        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
v-sim-3.7.2/Makefile.in-130-      else \
v-sim-3.7.2/Makefile.in:131:        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
v-sim-3.7.2/Makefile.in-132-        if test "$$first2" = "$$first"; then \
v-sim-3.7.2/Makefile.in:133:          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/Makefile.in-134-        else \
##############################################
v-sim-3.7.2/Makefile.in-139-    fi; \
v-sim-3.7.2/Makefile.in:140:    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/Makefile.in-141-  done; \
##############################################
v-sim-3.7.2/Makefile.in-488-	@list='$(v_simlegal_DATA)'; test -n "$(v_simlegaldir)" || list=; \
v-sim-3.7.2/Makefile.in:489:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/Makefile.in-490-	dir='$(DESTDIR)$(v_simlegaldir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/Makefile.in-506-	dot_seen=no; \
v-sim-3.7.2/Makefile.in:507:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/Makefile.in-508-	list='$(SUBDIRS)'; for subdir in $$list; do \
##############################################
v-sim-3.7.2/Makefile.in-541-	rev="$$rev ."; \
v-sim-3.7.2/Makefile.in:542:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/Makefile.in-543-	for subdir in $$rev; do \
##############################################
v-sim-3.7.2/Makefile.in-619-GTAGS:
v-sim-3.7.2/Makefile.in:620:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/Makefile.in-621-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/Makefile.in-629-	test -d "$(distdir)" || mkdir "$(distdir)"
v-sim-3.7.2/Makefile.in:630:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Makefile.in:631:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Makefile.in-632-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/Makefile.in-643-	  if test -d $$d/$$file; then \
v-sim-3.7.2/Makefile.in:644:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/Makefile.in-645-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/Makefile.in-757-	test -d $(distdir)/_build || exit 0; \
v-sim-3.7.2/Makefile.in:758:	dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
v-sim-3.7.2/Makefile.in-759-	  && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
##############################################
v-sim-3.7.2/Makefile.in-800-	}; \
v-sim-3.7.2/Makefile.in:801:	test `$(am__distuninstallcheck_listfiles) | wc -l` -eq 0 \
v-sim-3.7.2/Makefile.in-802-	   || { echo "ERROR: files left after uninstall:" ; \
##############################################
v-sim-3.7.2/Makefile.in-812-	fi
v-sim-3.7.2/Makefile.in:813:	@test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
v-sim-3.7.2/Makefile.in-814-	  || { echo "ERROR: files left in build directory after distclean:" ; \
##############################################
v-sim-3.7.2/INSTALL-173-directory configuration variables that were expressed in terms of
v-sim-3.7.2/INSTALL:174:`${prefix}'.  Any directories that were specified during `configure',
v-sim-3.7.2/INSTALL-175-but not in terms of `${prefix}', must each be overridden at install
##############################################
v-sim-3.7.2/config.guess-38-
v-sim-3.7.2/config.guess:39:me=`echo "$0" | sed -e 's,.*/,,'`
v-sim-3.7.2/config.guess-40-
##############################################
v-sim-3.7.2/config.guess-108-: ${TMPDIR=/tmp} ;
v-sim-3.7.2/config.guess:109: { tmp=`(umask 077 && mktemp -d "$TMPDIR/cgXXXXXX") 2>/dev/null` && test -n "$tmp" && test -d "$tmp" ; } ||
v-sim-3.7.2/config.guess-110- { test -n "$RANDOM" && tmp=$TMPDIR/cg$$-$RANDOM && (umask 077 && mkdir $tmp) ; } ||
##############################################
v-sim-3.7.2/config.guess-194-	    *)
v-sim-3.7.2/config.guess:195:		release=`echo ${UNAME_RELEASE}|sed -e 's/[-_].*/\./'`
v-sim-3.7.2/config.guess-196-		;;
##############################################
v-sim-3.7.2/config.guess-221-	*4.0)
v-sim-3.7.2/config.guess:222:		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'`
v-sim-3.7.2/config.guess-223-		;;
v-sim-3.7.2/config.guess-224-	*5.*)
v-sim-3.7.2/config.guess:225:		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
v-sim-3.7.2/config.guess-226-		;;
##############################################
v-sim-3.7.2/config.guess-231-	# types through head -n 1, so we only detect the type of CPU 0.
v-sim-3.7.2/config.guess:232:	ALPHA_CPU_TYPE=`/usr/sbin/psrinfo -v | sed -n -e 's/^  The alpha \(.*\) processor.*$/\1/p' | head -n 1`
v-sim-3.7.2/config.guess-233-	case "$ALPHA_CPU_TYPE" in
##############################################
v-sim-3.7.2/config.guess-269-	# 1.2 uses "1.2" for uname -r.
v-sim-3.7.2/config.guess:270:	echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
v-sim-3.7.2/config.guess-271-	# Reset EXIT trap before exiting to avoid spurious non-zero exit code.
##############################################
v-sim-3.7.2/config.guess-329-    s390x:SunOS:*:*)
v-sim-3.7.2/config.guess:330:	echo ${UNAME_MACHINE}-ibm-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-331-	exit ;;
v-sim-3.7.2/config.guess-332-    sun4H:SunOS:5.*:*)
v-sim-3.7.2/config.guess:333:	echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-334-	exit ;;
v-sim-3.7.2/config.guess-335-    sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*)
v-sim-3.7.2/config.guess:336:	echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-337-	exit ;;
##############################################
v-sim-3.7.2/config.guess-354-	fi
v-sim-3.7.2/config.guess:355:	echo ${SUN_ARCH}-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-356-	exit ;;
##############################################
v-sim-3.7.2/config.guess-360-	# it's likely to be more like Solaris than SunOS4.
v-sim-3.7.2/config.guess:361:	echo sparc-sun-solaris3`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-362-	exit ;;
##############################################
v-sim-3.7.2/config.guess-369-	# Japanese Language versions have a version number like `4.1.3-JL'.
v-sim-3.7.2/config.guess:370:	echo sparc-sun-sunos`echo ${UNAME_RELEASE}|sed -e 's/-/_/'`
v-sim-3.7.2/config.guess-371-	exit ;;
##############################################
v-sim-3.7.2/config.guess-375-    sun*:*:4.2BSD:*)
v-sim-3.7.2/config.guess:376:	UNAME_RELEASE=`(sed 1q /etc/motd | awk '{print substr($5,1,3)}') 2>/dev/null`
v-sim-3.7.2/config.guess-377-	test "x${UNAME_RELEASE}" = "x" && UNAME_RELEASE=3
##############################################
v-sim-3.7.2/config.guess-457-	$CC_FOR_BUILD -o $dummy $dummy.c &&
v-sim-3.7.2/config.guess:458:	  dummyarg=`echo "${UNAME_RELEASE}" | sed -n 's/\([0-9]*\).*/\1/p'` &&
v-sim-3.7.2/config.guess:459:	  SYSTEM_NAME=`$dummy $dummyarg` &&
v-sim-3.7.2/config.guess-460-	    { echo "$SYSTEM_NAME"; exit; }
##############################################
v-sim-3.7.2/config.guess-513-    *:IRIX*:*:*)
v-sim-3.7.2/config.guess:514:	echo mips-sgi-irix`echo ${UNAME_RELEASE}|sed -e 's/-/_/g'`
v-sim-3.7.2/config.guess-515-	exit ;;
##############################################
v-sim-3.7.2/config.guess-543-EOF
v-sim-3.7.2/config.guess:544:		if $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy`
v-sim-3.7.2/config.guess-545-		then
##############################################
v-sim-3.7.2/config.guess-556-    *:AIX:*:[4567])
v-sim-3.7.2/config.guess:557:	IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'`
v-sim-3.7.2/config.guess-558-	if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then
##############################################
v-sim-3.7.2/config.guess-591-    9000/[34678]??:HP-UX:*:*)
v-sim-3.7.2/config.guess:592:	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
v-sim-3.7.2/config.guess-593-	case "${UNAME_MACHINE}" in
##############################################
v-sim-3.7.2/config.guess-645-EOF
v-sim-3.7.2/config.guess:646:		    (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy`
v-sim-3.7.2/config.guess-647-		    test -z "$HP_ARCH" && HP_ARCH=hppa
##############################################
v-sim-3.7.2/config.guess-673-    ia64:HP-UX:*:*)
v-sim-3.7.2/config.guess:674:	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
v-sim-3.7.2/config.guess-675-	echo ia64-hp-hpux${HPUX_REV}
##############################################
v-sim-3.7.2/config.guess-703-EOF
v-sim-3.7.2/config.guess:704:	$CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` &&
v-sim-3.7.2/config.guess-705-		{ echo "$SYSTEM_NAME"; exit; }
##############################################
v-sim-3.7.2/config.guess-774-	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
v-sim-3.7.2/config.guess:775:	FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
v-sim-3.7.2/config.guess-776-	echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
##############################################
v-sim-3.7.2/config.guess-779-	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
v-sim-3.7.2/config.guess:780:	FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
v-sim-3.7.2/config.guess-781-	echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
##############################################
v-sim-3.7.2/config.guess-795-	    amd64)
v-sim-3.7.2/config.guess:796:		echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
v-sim-3.7.2/config.guess-797-	    *)
v-sim-3.7.2/config.guess:798:		echo ${UNAME_PROCESSOR}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
v-sim-3.7.2/config.guess-799-	esac
##############################################
v-sim-3.7.2/config.guess-850-    prep*:SunOS:5.*:*)
v-sim-3.7.2/config.guess:851:	echo powerpcle-unknown-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
v-sim-3.7.2/config.guess-852-	exit ;;
##############################################
v-sim-3.7.2/config.guess-854-	# the GNU system
v-sim-3.7.2/config.guess:855:	echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-gnu`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'`
v-sim-3.7.2/config.guess-856-	exit ;;
##############################################
v-sim-3.7.2/config.guess-858-	# other systems with GNU libc and userland
v-sim-3.7.2/config.guess:859:	echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-gnu
v-sim-3.7.2/config.guess-860-	exit ;;
##############################################
v-sim-3.7.2/config.guess-923-EOF
v-sim-3.7.2/config.guess:924:	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'`
v-sim-3.7.2/config.guess-925-	echo "${UNAME_MACHINE}-pc-linux-${LIBC}"
##############################################
v-sim-3.7.2/config.guess-951-EOF
v-sim-3.7.2/config.guess:952:	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'`
v-sim-3.7.2/config.guess-953-	test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; }
##############################################
v-sim-3.7.2/config.guess-1036-    i*86:*:4.*:* | i*86:SYSTEM_V:4.*:*)
v-sim-3.7.2/config.guess:1037:	UNAME_REL=`echo ${UNAME_RELEASE} | sed 's/\/MP$//'`
v-sim-3.7.2/config.guess-1038-	if grep Novell /usr/include/link.h >/dev/null 2>/dev/null; then
##############################################
v-sim-3.7.2/config.guess-1306-    *:DragonFly:*:*)
v-sim-3.7.2/config.guess:1307:	echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`
v-sim-3.7.2/config.guess-1308-	exit ;;
##############################################
v-sim-3.7.2/config.guess-1319-    i*86:skyos:*:*)
v-sim-3.7.2/config.guess:1320:	echo ${UNAME_MACHINE}-pc-skyos`echo ${UNAME_RELEASE}` | sed -e 's/ .*$//'
v-sim-3.7.2/config.guess-1321-	exit ;;
##############################################
v-sim-3.7.2/config.guess-1450-
v-sim-3.7.2/config.guess:1451:$CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null && SYSTEM_NAME=`$dummy` &&
v-sim-3.7.2/config.guess-1452-	{ echo "$SYSTEM_NAME"; exit; }
##############################################
v-sim-3.7.2/config.sub-57-
v-sim-3.7.2/config.sub:58:me=`echo "$0" | sed -e 's,.*/,,'`
v-sim-3.7.2/config.sub-59-
##############################################
v-sim-3.7.2/config.sub-122-# Here we must recognize all the valid KERNEL-OS combinations.
v-sim-3.7.2/config.sub:123:maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'`
v-sim-3.7.2/config.sub-124-case $maybe_os in
##############################################
v-sim-3.7.2/config.sub-130-    os=-$maybe_os
v-sim-3.7.2/config.sub:131:    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`
v-sim-3.7.2/config.sub-132-    ;;
##############################################
v-sim-3.7.2/config.sub-134-    os=-linux-android
v-sim-3.7.2/config.sub:135:    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`-unknown
v-sim-3.7.2/config.sub-136-    ;;
v-sim-3.7.2/config.sub-137-  *)
v-sim-3.7.2/config.sub:138:    basic_machine=`echo $1 | sed 's/-[^-]*$//'`
v-sim-3.7.2/config.sub-139-    if [ $basic_machine != $1 ]
v-sim-3.7.2/config.sub:140:    then os=`echo $1 | sed 's/.*-/-/'`
v-sim-3.7.2/config.sub-141-    else os=; fi
##############################################
v-sim-3.7.2/config.sub-188-		os=-sco5v6
v-sim-3.7.2/config.sub:189:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-190-		;;
##############################################
v-sim-3.7.2/config.sub-192-		os=-sco3.2v5
v-sim-3.7.2/config.sub:193:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-194-		;;
##############################################
v-sim-3.7.2/config.sub-196-		os=-sco3.2v4
v-sim-3.7.2/config.sub:197:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-198-		;;
v-sim-3.7.2/config.sub-199-	-sco3.2.[4-9]*)
v-sim-3.7.2/config.sub:200:		os=`echo $os | sed -e 's/sco3.2./sco3.2v/'`
v-sim-3.7.2/config.sub:201:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-202-		;;
##############################################
v-sim-3.7.2/config.sub-204-		# Don't forget version if it is 3.2v4 or newer.
v-sim-3.7.2/config.sub:205:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-206-		;;
##############################################
v-sim-3.7.2/config.sub-208-		# Don't forget version if it is 3.2v4 or newer.
v-sim-3.7.2/config.sub:209:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-210-		;;
##############################################
v-sim-3.7.2/config.sub-212-		os=-sco3.2v2
v-sim-3.7.2/config.sub:213:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-214-		;;
v-sim-3.7.2/config.sub-215-	-udk*)
v-sim-3.7.2/config.sub:216:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-217-		;;
##############################################
v-sim-3.7.2/config.sub-219-		os=-isc2.2
v-sim-3.7.2/config.sub:220:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-221-		;;
##############################################
v-sim-3.7.2/config.sub-225-	-isc*)
v-sim-3.7.2/config.sub:226:		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
v-sim-3.7.2/config.sub-227-		;;
##############################################
v-sim-3.7.2/config.sub-231-	-ptx*)
v-sim-3.7.2/config.sub:232:		basic_machine=`echo $1 | sed -e 's/86-.*/86-sequent/'`
v-sim-3.7.2/config.sub-233-		;;
v-sim-3.7.2/config.sub-234-	-windowsnt*)
v-sim-3.7.2/config.sub:235:		os=`echo $os | sed -e 's/windowsnt/winnt/'`
v-sim-3.7.2/config.sub-236-		;;
##############################################
v-sim-3.7.2/config.sub-357-	*-*-*)
v-sim-3.7.2/config.sub:358:		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
v-sim-3.7.2/config.sub-359-		exit 1
##############################################
v-sim-3.7.2/config.sub-475-	amd64-*)
v-sim-3.7.2/config.sub:476:		basic_machine=x86_64-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-477-		;;
##############################################
v-sim-3.7.2/config.sub-517-	blackfin-*)
v-sim-3.7.2/config.sub:518:		basic_machine=bfin-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-519-		os=-linux
##############################################
v-sim-3.7.2/config.sub-525-	c54x-*)
v-sim-3.7.2/config.sub:526:		basic_machine=tic54x-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-527-		;;
v-sim-3.7.2/config.sub-528-	c55x-*)
v-sim-3.7.2/config.sub:529:		basic_machine=tic55x-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-530-		;;
v-sim-3.7.2/config.sub-531-	c6x-*)
v-sim-3.7.2/config.sub:532:		basic_machine=tic6x-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-533-		;;
##############################################
v-sim-3.7.2/config.sub-728-	i*86v32)
v-sim-3.7.2/config.sub:729:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
v-sim-3.7.2/config.sub-730-		os=-sysv32
##############################################
v-sim-3.7.2/config.sub-732-	i*86v4*)
v-sim-3.7.2/config.sub:733:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
v-sim-3.7.2/config.sub-734-		os=-sysv4
##############################################
v-sim-3.7.2/config.sub-736-	i*86v)
v-sim-3.7.2/config.sub:737:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
v-sim-3.7.2/config.sub-738-		os=-sysv
##############################################
v-sim-3.7.2/config.sub-740-	i*86sol2)
v-sim-3.7.2/config.sub:741:		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
v-sim-3.7.2/config.sub-742-		os=-solaris2
##############################################
v-sim-3.7.2/config.sub-770-	m68knommu-*)
v-sim-3.7.2/config.sub:771:		basic_machine=m68k-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-772-		os=-linux
##############################################
v-sim-3.7.2/config.sub-803-	mips3*-*)
v-sim-3.7.2/config.sub:804:		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`
v-sim-3.7.2/config.sub-805-		;;
v-sim-3.7.2/config.sub-806-	mips3*)
v-sim-3.7.2/config.sub:807:		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`-unknown
v-sim-3.7.2/config.sub-808-		;;
##############################################
v-sim-3.7.2/config.sub-821-	ms1-*)
v-sim-3.7.2/config.sub:822:		basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'`
v-sim-3.7.2/config.sub-823-		;;
##############################################
v-sim-3.7.2/config.sub-940-	parisc-*)
v-sim-3.7.2/config.sub:941:		basic_machine=hppa-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-942-		os=-linux
##############################################
v-sim-3.7.2/config.sub-956-	pc98-*)
v-sim-3.7.2/config.sub:957:		basic_machine=i386-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-958-		;;
##############################################
v-sim-3.7.2/config.sub-971-	pentium-* | p5-* | k5-* | k6-* | nexgen-* | viac3-*)
v-sim-3.7.2/config.sub:972:		basic_machine=i586-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-973-		;;
v-sim-3.7.2/config.sub-974-	pentiumpro-* | p6-* | 6x86-* | athlon-*)
v-sim-3.7.2/config.sub:975:		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-976-		;;
v-sim-3.7.2/config.sub-977-	pentiumii-* | pentium2-* | pentiumiii-* | pentium3-*)
v-sim-3.7.2/config.sub:978:		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-979-		;;
v-sim-3.7.2/config.sub-980-	pentium4-*)
v-sim-3.7.2/config.sub:981:		basic_machine=i786-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-982-		;;
##############################################
v-sim-3.7.2/config.sub-990-	ppc-* | ppcbe-*)
v-sim-3.7.2/config.sub:991:		basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-992-		;;
##############################################
v-sim-3.7.2/config.sub-996-	ppcle-* | powerpclittle-*)
v-sim-3.7.2/config.sub:997:		basic_machine=powerpcle-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-998-		;;
##############################################
v-sim-3.7.2/config.sub-1000-		;;
v-sim-3.7.2/config.sub:1001:	ppc64-*) basic_machine=powerpc64-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-1002-		;;
##############################################
v-sim-3.7.2/config.sub-1006-	ppc64le-* | powerpc64little-*)
v-sim-3.7.2/config.sub:1007:		basic_machine=powerpc64le-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-1008-		;;
##############################################
v-sim-3.7.2/config.sub-1085-	strongarm-* | thumb-*)
v-sim-3.7.2/config.sub:1086:		basic_machine=arm-`echo $basic_machine | sed 's/^[^-]*-//'`
v-sim-3.7.2/config.sub-1087-		;;
##############################################
v-sim-3.7.2/config.sub-1215-	xscale-* | xscalee[bl]-*)
v-sim-3.7.2/config.sub:1216:		basic_machine=`echo $basic_machine | sed 's/^xscale/arm/'`
v-sim-3.7.2/config.sub-1217-		;;
##############################################
v-sim-3.7.2/config.sub-1292-	*)
v-sim-3.7.2/config.sub:1293:		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
v-sim-3.7.2/config.sub-1294-		exit 1
##############################################
v-sim-3.7.2/config.sub-1300-	*-digital*)
v-sim-3.7.2/config.sub:1301:		basic_machine=`echo $basic_machine | sed 's/digital.*/dec/'`
v-sim-3.7.2/config.sub-1302-		;;
v-sim-3.7.2/config.sub-1303-	*-commodore*)
v-sim-3.7.2/config.sub:1304:		basic_machine=`echo $basic_machine | sed 's/commodore.*/cbm/'`
v-sim-3.7.2/config.sub-1305-		;;
##############################################
v-sim-3.7.2/config.sub-1321-	-solaris1 | -solaris1.*)
v-sim-3.7.2/config.sub:1322:		os=`echo $os | sed -e 's|solaris1|sunos4|'`
v-sim-3.7.2/config.sub-1323-		;;
##############################################
v-sim-3.7.2/config.sub-1333-	-gnu/linux*)
v-sim-3.7.2/config.sub:1334:		os=`echo $os | sed -e 's|gnu/linux|linux-gnu|'`
v-sim-3.7.2/config.sub-1335-		;;
##############################################
v-sim-3.7.2/config.sub-1379-	-nto*)
v-sim-3.7.2/config.sub:1380:		os=`echo $os | sed -e 's|nto|nto-qnx|'`
v-sim-3.7.2/config.sub-1381-		;;
##############################################
v-sim-3.7.2/config.sub-1386-	-mac*)
v-sim-3.7.2/config.sub:1387:		os=`echo $os | sed -e 's|mac|macos|'`
v-sim-3.7.2/config.sub-1388-		;;
##############################################
v-sim-3.7.2/config.sub-1392-	-linux*)
v-sim-3.7.2/config.sub:1393:		os=`echo $os | sed -e 's|linux|linux-gnu|'`
v-sim-3.7.2/config.sub-1394-		;;
v-sim-3.7.2/config.sub-1395-	-sunos5*)
v-sim-3.7.2/config.sub:1396:		os=`echo $os | sed -e 's|sunos5|solaris2|'`
v-sim-3.7.2/config.sub-1397-		;;
v-sim-3.7.2/config.sub-1398-	-sunos6*)
v-sim-3.7.2/config.sub:1399:		os=`echo $os | sed -e 's|sunos6|solaris3|'`
v-sim-3.7.2/config.sub-1400-		;;
##############################################
v-sim-3.7.2/config.sub-1447-	-sinix5.*)
v-sim-3.7.2/config.sub:1448:		os=`echo $os | sed -e 's|sinix|sysv|'`
v-sim-3.7.2/config.sub-1449-		;;
##############################################
v-sim-3.7.2/config.sub-1503-		# Get rid of the `-' at the beginning of $os.
v-sim-3.7.2/config.sub:1504:		os=`echo $os | sed 's/[^-]*-//'`
v-sim-3.7.2/config.sub:1505:		echo Invalid configuration \`$1\': system \`$os\' not recognized 1>&2
v-sim-3.7.2/config.sub-1506-		exit 1
##############################################
v-sim-3.7.2/config.sub-1760-		esac
v-sim-3.7.2/config.sub:1761:		basic_machine=`echo $basic_machine | sed "s/unknown/$vendor/"`
v-sim-3.7.2/config.sub-1762-		;;
##############################################
v-sim-3.7.2/depcomp-67-  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
v-sim-3.7.2/depcomp:68:tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
v-sim-3.7.2/depcomp-69-
##############################################
v-sim-3.7.2/depcomp-234-  # Version 6 uses the directory in both cases.
v-sim-3.7.2/depcomp:235:  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
v-sim-3.7.2/depcomp-236-  test "x$dir" = "x$object" && dir=
v-sim-3.7.2/depcomp:237:  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
v-sim-3.7.2/depcomp-238-  if test "$libtool" = yes; then
##############################################
v-sim-3.7.2/depcomp-263-    # Do two passes, one to just change these to
v-sim-3.7.2/depcomp:264:    # `$object: dependent.h' and one to simply `dependent.h:'.
v-sim-3.7.2/depcomp-265-    sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
##############################################
v-sim-3.7.2/depcomp-305-  # Do two passes, one to just change these to
v-sim-3.7.2/depcomp:306:  # `$object: dependent.h' and one to simply `dependent.h:'.
v-sim-3.7.2/depcomp-307-  sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
##############################################
v-sim-3.7.2/depcomp-321-  # Much of this is similar to the tru64 case; see comments there.
v-sim-3.7.2/depcomp:322:  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
v-sim-3.7.2/depcomp-323-  test "x$dir" = "x$object" && dir=
v-sim-3.7.2/depcomp:324:  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
v-sim-3.7.2/depcomp-325-  if test "$libtool" = yes; then
##############################################
v-sim-3.7.2/depcomp-365-   # Subdirectories are respected.
v-sim-3.7.2/depcomp:366:   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
v-sim-3.7.2/depcomp-367-   test "x$dir" = "x$object" && dir=
v-sim-3.7.2/depcomp:368:   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
v-sim-3.7.2/depcomp-369-
##############################################
v-sim-3.7.2/depcomp-557-  done
v-sim-3.7.2/depcomp:558:  obj_suffix=`echo "$object" | sed 's/^.*\././'`
v-sim-3.7.2/depcomp-559-  touch "$tmpdepfile"
##############################################
v-sim-3.7.2/install-sh-229-      fi
v-sim-3.7.2/install-sh:230:      cp_umask=`expr '(' 777 - $mode % 1000 ')' $u_plus_rw`;;
v-sim-3.7.2/install-sh-231-    *)
##############################################
v-sim-3.7.2/install-sh-276-      dstdir=$dst
v-sim-3.7.2/install-sh:277:      dst=$dstdir/`basename "$src"`
v-sim-3.7.2/install-sh-278-      dstdir_status=0
##############################################
v-sim-3.7.2/install-sh-358-		   # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
v-sim-3.7.2/install-sh:359:		   ls_ld_tmpdir=`ls -ld "$tmpdir"`
v-sim-3.7.2/install-sh-360-		   case $ls_ld_tmpdir in
##############################################
v-sim-3.7.2/install-sh-365-		   $mkdirprog -m$different_mode -p -- "$tmpdir" && {
v-sim-3.7.2/install-sh:366:		     ls_ld_tmpdir_1=`ls -ld "$tmpdir"`
v-sim-3.7.2/install-sh-367-		     test "$ls_ld_tmpdir" = "$ls_ld_tmpdir_1"
##############################################
v-sim-3.7.2/install-sh-425-	    case $prefix in
v-sim-3.7.2/install-sh:426:	      *\'*) qprefix=`echo "$prefix" | sed "s/'/'\\\\\\\\''/g"`;;
v-sim-3.7.2/install-sh-427-	      *) qprefix=$prefix;;
##############################################
v-sim-3.7.2/install-sh-474-    if $copy_on_change &&
v-sim-3.7.2/install-sh:475:       old=`LC_ALL=C ls -dlL "$dst"	2>/dev/null` &&
v-sim-3.7.2/install-sh:476:       new=`LC_ALL=C ls -dlL "$dsttmp"	2>/dev/null` &&
v-sim-3.7.2/install-sh-477-
##############################################
v-sim-3.7.2/ltmain.sh-167-{
v-sim-3.7.2/ltmain.sh:168:    func_dirname_result=`$ECHO "${1}" | $SED "$dirname"`
v-sim-3.7.2/ltmain.sh-169-    if test "X$func_dirname_result" = "X${1}"; then
##############################################
v-sim-3.7.2/ltmain.sh-179-{
v-sim-3.7.2/ltmain.sh:180:    func_basename_result=`$ECHO "${1}" | $SED "$basename"`
v-sim-3.7.2/ltmain.sh-181-} # func_basename may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-198-    # Extract subdirectory from the argument.
v-sim-3.7.2/ltmain.sh:199:    func_dirname_result=`$ECHO "${1}" | $SED -e "$dirname"`
v-sim-3.7.2/ltmain.sh-200-    if test "X$func_dirname_result" = "X${1}"; then
##############################################
v-sim-3.7.2/ltmain.sh-204-    fi
v-sim-3.7.2/ltmain.sh:205:    func_basename_result=`$ECHO "${1}" | $SED -e "$basename"`
v-sim-3.7.2/ltmain.sh-206-} # func_dirname_and_basename may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-217-    case ${2} in
v-sim-3.7.2/ltmain.sh:218:      .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
v-sim-3.7.2/ltmain.sh:219:      *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
v-sim-3.7.2/ltmain.sh-220-    esac
##############################################
v-sim-3.7.2/ltmain.sh-380-     progdir=$func_dirname_result
v-sim-3.7.2/ltmain.sh:381:     progdir=`cd "$progdir" && pwd`
v-sim-3.7.2/ltmain.sh-382-     progpath="$progdir/$progname"
##############################################
v-sim-3.7.2/ltmain.sh-417-# Since each input `\' is now two `\'s, look for any number of runs of
v-sim-3.7.2/ltmain.sh:418:# four `\'s followed by two `\'s and then a '$'.  `\' that '$'.
v-sim-3.7.2/ltmain.sh-419-bs='\\'
##############################################
v-sim-3.7.2/ltmain.sh-531-        # ...otherwise throw away the child directory and loop
v-sim-3.7.2/ltmain.sh:532:        my_directory_path=`$ECHO "$my_directory_path" | $SED -e "$dirname"`
v-sim-3.7.2/ltmain.sh-533-      done
v-sim-3.7.2/ltmain.sh:534:      my_dir_list=`$ECHO "$my_dir_list" | $SED 's,:*$,,'`
v-sim-3.7.2/ltmain.sh-535-
##############################################
v-sim-3.7.2/ltmain.sh-566-      # If mktemp works, use that first and foremost
v-sim-3.7.2/ltmain.sh:567:      my_tmpdir=`mktemp -d "${my_template}-XXXXXXXX" 2>/dev/null`
v-sim-3.7.2/ltmain.sh-568-
##############################################
v-sim-3.7.2/ltmain.sh-597-      *[\\\`\"\$]*)
v-sim-3.7.2/ltmain.sh:598:	func_quote_for_eval_unquoted_result=`$ECHO "$1" | $SED "$sed_quote_subst"` ;;
v-sim-3.7.2/ltmain.sh-599-      *)
##############################################
v-sim-3.7.2/ltmain.sh-702-  [0-9]* | *[!a-zA-Z0-9_]*)
v-sim-3.7.2/ltmain.sh:703:    func_tr_sh_result=`$ECHO "$1" | $SED 's/^\([0-9]\)/_\1/; s/[^a-zA-Z0-9_]/_/g'`
v-sim-3.7.2/ltmain.sh-704-    ;;
##############################################
v-sim-3.7.2/ltmain.sh-769-	s/\$with_gnu_ld/'"$with_gnu_ld"'/
v-sim-3.7.2/ltmain.sh:770:	s/\$automake_version/'"`(${AUTOMAKE-automake} --version) 2>/dev/null |$SED 1q`"'/
v-sim-3.7.2/ltmain.sh:771:	s/\$autoconf_version/'"`(${AUTOCONF-autoconf} --version) 2>/dev/null |$SED 1q`"'/
v-sim-3.7.2/ltmain.sh-772-	p
##############################################
v-sim-3.7.2/ltmain.sh-803-
v-sim-3.7.2/ltmain.sh:804:    func_split_short_opt_name=`$ECHO "$1" | $SED "$my_sed_short_opt"`
v-sim-3.7.2/ltmain.sh:805:    func_split_short_opt_arg=`$ECHO "$1" | $SED "$my_sed_short_rest"`
v-sim-3.7.2/ltmain.sh-806-} # func_split_short_opt may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-816-
v-sim-3.7.2/ltmain.sh:817:    func_split_long_opt_name=`$ECHO "$1" | $SED "$my_sed_long_opt"`
v-sim-3.7.2/ltmain.sh:818:    func_split_long_opt_arg=`$ECHO "$1" | $SED "$my_sed_long_arg"`
v-sim-3.7.2/ltmain.sh-819-} # func_split_long_opt may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-862-{
v-sim-3.7.2/ltmain.sh:863:    func_arith_result=`expr "${@}"`
v-sim-3.7.2/ltmain.sh-864-} # func_arith may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-870-{
v-sim-3.7.2/ltmain.sh:871:    func_len_result=`expr "${1}" : ".*" 2>/dev/null || echo $max_cmd_len`
v-sim-3.7.2/ltmain.sh-872-} # func_len may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-877-{
v-sim-3.7.2/ltmain.sh:878:    func_lo2o_result=`$ECHO "${1}" | $SED "$lo2o"`
v-sim-3.7.2/ltmain.sh-879-} # func_lo2o may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-884-{
v-sim-3.7.2/ltmain.sh:885:    func_xform_result=`$ECHO "${1}" | $SED 's/\.[^.]*$/.lo/'`
v-sim-3.7.2/ltmain.sh-886-} # func_xform may be replaced by extended shell implementation
##############################################
v-sim-3.7.2/ltmain.sh-968-	# quotes we have to do it in 2 steps:
v-sim-3.7.2/ltmain.sh:969:	extractedcf=`$SED -n -e "$sed_extractcf" < "$progpath"`
v-sim-3.7.2/ltmain.sh-970-	eval "$extractedcf"
##############################################
v-sim-3.7.2/ltmain.sh-1382-      done
v-sim-3.7.2/ltmain.sh:1383:      CC_expanded=`func_echo_all $CC`
v-sim-3.7.2/ltmain.sh:1384:      CC_quoted_expanded=`func_echo_all $CC_quoted`
v-sim-3.7.2/ltmain.sh-1385-      case $@ in
##############################################
v-sim-3.7.2/ltmain.sh-1395-	    # Evaluate the configuration.
v-sim-3.7.2/ltmain.sh:1396:	    eval "`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$z'$/,/^# ### END LIBTOOL TAG CONFIG: '$z'$/p' < $progpath`"
v-sim-3.7.2/ltmain.sh-1397-	    CC_quoted=
##############################################
v-sim-3.7.2/ltmain.sh-1401-	    done
v-sim-3.7.2/ltmain.sh:1402:	    CC_expanded=`func_echo_all $CC`
v-sim-3.7.2/ltmain.sh:1403:	    CC_quoted_expanded=`func_echo_all $CC_quoted`
v-sim-3.7.2/ltmain.sh-1404-	    case "$@ " in
##############################################
v-sim-3.7.2/ltmain.sh-1492-    # zero AND non-empty stdout, which explains the odd construction:
v-sim-3.7.2/ltmain.sh:1493:    func_convert_core_file_wine_to_w32_tmp=`winepath -w "$1" 2>/dev/null`
v-sim-3.7.2/ltmain.sh-1494-    if test "$?" -eq 0 && test -n "${func_convert_core_file_wine_to_w32_tmp}"; then
##############################################
v-sim-3.7.2/ltmain.sh-1558-  if test -n "$LT_CYGPATH" && test -f "$LT_CYGPATH"; then
v-sim-3.7.2/ltmain.sh:1559:    func_cygpath_result=`$LT_CYGPATH "$@" 2>/dev/null`
v-sim-3.7.2/ltmain.sh-1560-    if test "$?" -ne 0; then
##############################################
v-sim-3.7.2/ltmain.sh-1721-    # LT_CYGPATH in this case.
v-sim-3.7.2/ltmain.sh:1722:    func_to_host_file_result=`cygpath -m "$1"`
v-sim-3.7.2/ltmain.sh-1723-  fi
##############################################
v-sim-3.7.2/ltmain.sh-1871-    func_to_host_path_tmp1=$func_stripname_result
v-sim-3.7.2/ltmain.sh:1872:    func_to_host_path_result=`cygpath -m -p "$func_to_host_path_tmp1"`
v-sim-3.7.2/ltmain.sh-1873-    func_convert_path_check : ";" \
##############################################
v-sim-3.7.2/ltmain.sh-2060-    *.[cCFSifmso] | \
v-sim-3.7.2/ltmain.sh:2061:    *.ada | *.adb | *.ads | *.asm | \
v-sim-3.7.2/ltmain.sh-2062-    *.c++ | *.cc | *.ii | *.class | *.cpp | *.cxx | \
##############################################
v-sim-3.7.2/ltmain.sh-2137-    if test "$compiler_c_o" = no; then
v-sim-3.7.2/ltmain.sh:2138:      output_obj=`$ECHO "$srcfile" | $SED 's%^.*/%%; s%\.[^.]*$%%'`.${objext}
v-sim-3.7.2/ltmain.sh-2139-      lockfile="$output_obj.lock"
##############################################
v-sim-3.7.2/ltmain.sh-2156-*** ERROR, $lockfile exists and contains:
v-sim-3.7.2/ltmain.sh:2157:`cat $lockfile 2>/dev/null`
v-sim-3.7.2/ltmain.sh-2158-
##############################################
v-sim-3.7.2/ltmain.sh-2204-      if test "$need_locks" = warn &&
v-sim-3.7.2/ltmain.sh:2205:	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
v-sim-3.7.2/ltmain.sh-2206-	$ECHO "\
v-sim-3.7.2/ltmain.sh-2207-*** ERROR, $lockfile contains:
v-sim-3.7.2/ltmain.sh:2208:`cat $lockfile 2>/dev/null`
v-sim-3.7.2/ltmain.sh-2209-
##############################################
v-sim-3.7.2/ltmain.sh-2253-      if test "$need_locks" = warn &&
v-sim-3.7.2/ltmain.sh:2254:	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
v-sim-3.7.2/ltmain.sh-2255-	$ECHO "\
v-sim-3.7.2/ltmain.sh-2256-*** ERROR, $lockfile contains:
v-sim-3.7.2/ltmain.sh:2257:`cat $lockfile 2>/dev/null`
v-sim-3.7.2/ltmain.sh-2258-
##############################################
v-sim-3.7.2/ltmain.sh-2548-	  test -n "$library_names" && \
v-sim-3.7.2/ltmain.sh:2549:	    func_warning "\`$file' was not linked with \`-export-dynamic'"
v-sim-3.7.2/ltmain.sh-2550-	  continue
##############################################
v-sim-3.7.2/ltmain.sh-2559-	  if test ! -f "$dir/$dlname"; then
v-sim-3.7.2/ltmain.sh:2560:	    func_fatal_error "cannot find \`$dlname' in \`$dir' or \`$dir/$objdir'"
v-sim-3.7.2/ltmain.sh-2561-	  fi
##############################################
v-sim-3.7.2/ltmain.sh-2577-      # Get the absolute pathname.
v-sim-3.7.2/ltmain.sh:2578:      absdir=`cd "$dir" && pwd`
v-sim-3.7.2/ltmain.sh-2579-      test -n "$absdir" && dir="$absdir"
##############################################
v-sim-3.7.2/ltmain.sh-2675-      if test -n "$lt_sysroot"; then
v-sim-3.7.2/ltmain.sh:2676:        sysroot_regex=`$ECHO "$lt_sysroot" | $SED "$sed_make_literal_regex"`
v-sim-3.7.2/ltmain.sh-2677-        sysroot_cmd="s/\([ ']\)$sysroot_regex/\1/g;"
##############################################
v-sim-3.7.2/ltmain.sh-2957-	  # Determine the prefix the user has applied to our future dir.
v-sim-3.7.2/ltmain.sh:2958:	  inst_prefix_dir=`$ECHO "$destdir" | $SED -e "s%$libdir\$%%"`
v-sim-3.7.2/ltmain.sh-2959-
##############################################
v-sim-3.7.2/ltmain.sh-2970-	    # Stick the inst_prefix_dir data into the link command.
v-sim-3.7.2/ltmain.sh:2971:	    relink_command=`$ECHO "$relink_command" | $SED "s%@inst_prefix_dir@%-inst-prefix-dir $inst_prefix_dir%"`
v-sim-3.7.2/ltmain.sh-2972-	  else
v-sim-3.7.2/ltmain.sh:2973:	    relink_command=`$ECHO "$relink_command" | $SED "s%@inst_prefix_dir@%%"`
v-sim-3.7.2/ltmain.sh-2974-	  fi
##############################################
v-sim-3.7.2/ltmain.sh-3130-	    fi
v-sim-3.7.2/ltmain.sh:3131:	    libfile="$libdir/"`$ECHO "$lib" | $SED 's%^.*/%%g'` ### testsuite: skip nested quoting test
v-sim-3.7.2/ltmain.sh-3132-	    if test -n "$libdir" && test ! -f "$libfile"; then
v-sim-3.7.2/ltmain.sh:3133:	      func_warning "\`$lib' has not been installed in \`$libdir'"
v-sim-3.7.2/ltmain.sh-3134-	      finalize=no
##############################################
v-sim-3.7.2/ltmain.sh-3149-	        # Replace the output file specification.
v-sim-3.7.2/ltmain.sh:3150:	        relink_command=`$ECHO "$relink_command" | $SED 's%@OUTPUT@%'"$outputname"'%g'`
v-sim-3.7.2/ltmain.sh-3151-
##############################################
v-sim-3.7.2/ltmain.sh-3168-	    # Install the binary that we compiled earlier.
v-sim-3.7.2/ltmain.sh:3169:	    file=`$ECHO "$file$stripped_ext" | $SED "s%\([^/]*\)$%$objdir/\1%"`
v-sim-3.7.2/ltmain.sh-3170-	  fi
##############################################
v-sim-3.7.2/ltmain.sh-3241-    my_pic_p="${3-no}"
v-sim-3.7.2/ltmain.sh:3242:    my_prefix=`$ECHO "$my_originator" | sed 's%[^a-zA-Z0-9]%_%g'`
v-sim-3.7.2/ltmain.sh-3243-    my_dlsyms=
##############################################
v-sim-3.7.2/ltmain.sh-3297-	  # Add our own program objects to the symbol list.
v-sim-3.7.2/ltmain.sh:3298:	  progfiles=`$ECHO "$objs$old_deplibs" | $SP2NL | $SED "$lo2o" | $NL2SP`
v-sim-3.7.2/ltmain.sh-3299-	  for progfile in $progfiles; do
##############################################
v-sim-3.7.2/ltmain.sh-3359-	          # Use subshell, to avoid clobbering current variable values
v-sim-3.7.2/ltmain.sh:3360:	          dlprefile_dlname=`source "$curr_lafile" && echo "$dlname"`
v-sim-3.7.2/ltmain.sh-3361-	          if test -n "$dlprefile_dlname" ; then
##############################################
v-sim-3.7.2/ltmain.sh-3506-	  if test -f "$output_objdir/$my_outputname.def"; then
v-sim-3.7.2/ltmain.sh:3507:	    compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
v-sim-3.7.2/ltmain.sh:3508:	    finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
v-sim-3.7.2/ltmain.sh-3509-	  else
v-sim-3.7.2/ltmain.sh:3510:	    compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$symfileobj%"`
v-sim-3.7.2/ltmain.sh:3511:	    finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$symfileobj%"`
v-sim-3.7.2/ltmain.sh-3512-	  fi
##############################################
v-sim-3.7.2/ltmain.sh-3514-	*)
v-sim-3.7.2/ltmain.sh:3515:	  compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$symfileobj%"`
v-sim-3.7.2/ltmain.sh:3516:	  finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$symfileobj%"`
v-sim-3.7.2/ltmain.sh-3517-	  ;;
##############################################
v-sim-3.7.2/ltmain.sh-3529-      # Nullify the symbol file.
v-sim-3.7.2/ltmain.sh:3530:      compile_command=`$ECHO "$compile_command" | $SED "s% @SYMFILE@%%"`
v-sim-3.7.2/ltmain.sh:3531:      finalize_command=`$ECHO "$finalize_command" | $SED "s% @SYMFILE@%%"`
v-sim-3.7.2/ltmain.sh-3532-    fi
##############################################
v-sim-3.7.2/ltmain.sh-3545-  win32_libid_type="unknown"
v-sim-3.7.2/ltmain.sh:3546:  win32_fileres=`file -L $1 2>/dev/null`
v-sim-3.7.2/ltmain.sh-3547-  case $win32_fileres in
##############################################
v-sim-3.7.2/ltmain.sh-3596-  $opt_debug
v-sim-3.7.2/ltmain.sh:3597:  sharedlib_from_linklib_result=`$DLLTOOL --identify-strict --identify "$1"`
v-sim-3.7.2/ltmain.sh-3598-}
##############################################
v-sim-3.7.2/ltmain.sh-3613-  $opt_debug
v-sim-3.7.2/ltmain.sh:3614:  match_literal=`$ECHO "$1" | $SED "$sed_make_literal_regex"`
v-sim-3.7.2/ltmain.sh-3615-  $OBJDUMP -s --section "$1" "$2" 2>/dev/null |
##############################################
v-sim-3.7.2/ltmain.sh-3668-  func_to_tool_file "$1" func_convert_file_msys_to_w32
v-sim-3.7.2/ltmain.sh:3669:  func_cygming_gnu_implib_tmp=`$NM "$func_to_tool_file_result" | eval "$global_symbol_pipe" | $EGREP ' (_head_[A-Za-z0-9_]+_[ad]l*|[A-Za-z0-9_]+_[ad]l*_iname)$'`
v-sim-3.7.2/ltmain.sh-3670-  test -n "$func_cygming_gnu_implib_tmp"
##############################################
v-sim-3.7.2/ltmain.sh-3680-  func_to_tool_file "$1" func_convert_file_msys_to_w32
v-sim-3.7.2/ltmain.sh:3681:  func_cygming_ms_implib_tmp=`$NM "$func_to_tool_file_result" | eval "$global_symbol_pipe" | $GREP '_NULL_IMPORT_DESCRIPTOR'`
v-sim-3.7.2/ltmain.sh-3682-  test -n "$func_cygming_ms_implib_tmp"
##############################################
v-sim-3.7.2/ltmain.sh-3700-    # binutils import library
v-sim-3.7.2/ltmain.sh:3701:    sharedlib_from_linklib_result=`func_cygming_dll_for_implib_fallback_core '.idata$7' "$1"`
v-sim-3.7.2/ltmain.sh-3702-  elif func_cygming_ms_implib_p "$1" ; then
v-sim-3.7.2/ltmain.sh-3703-    # ms-generated import library
v-sim-3.7.2/ltmain.sh:3704:    sharedlib_from_linklib_result=`func_cygming_dll_for_implib_fallback_core '.idata$6' "$1"`
v-sim-3.7.2/ltmain.sh-3705-  else
##############################################
v-sim-3.7.2/ltmain.sh-3780-	  darwin_curdir=`pwd`
v-sim-3.7.2/ltmain.sh:3781:	  darwin_base_archive=`basename "$darwin_archive"`
v-sim-3.7.2/ltmain.sh:3782:	  darwin_arches=`$LIPO -info "$darwin_archive" 2>/dev/null | $GREP Architectures 2>/dev/null || true`
v-sim-3.7.2/ltmain.sh-3783-	  if test -n "$darwin_arches"; then
v-sim-3.7.2/ltmain.sh:3784:	    darwin_arches=`$ECHO "$darwin_arches" | $SED -e 's/.*are://'`
v-sim-3.7.2/ltmain.sh-3785-	    darwin_arch=
##############################################
v-sim-3.7.2/ltmain.sh-3795-            ## Okay now we've a bunch of thin objects, gotta fatten them up :)
v-sim-3.7.2/ltmain.sh:3796:	    darwin_filelist=`find unfat-$$ -type f -name \*.o -print -o -name \*.lo -print | $SED -e "$basename" | sort -u`
v-sim-3.7.2/ltmain.sh-3797-	    darwin_file=
##############################################
v-sim-3.7.2/ltmain.sh-3799-	    for darwin_file in $darwin_filelist; do
v-sim-3.7.2/ltmain.sh:3800:	      darwin_files=`find unfat-$$ -name $darwin_file -print | sort | $NL2SP`
v-sim-3.7.2/ltmain.sh-3801-	      $LIPO -create -output "$darwin_file" $darwin_files
##############################################
v-sim-3.7.2/ltmain.sh-3814-      esac
v-sim-3.7.2/ltmain.sh:3815:      my_oldobjs="$my_oldobjs "`find $my_xdir -name \*.$objext -print -o -name \*.lo -print | sort | $NL2SP`
v-sim-3.7.2/ltmain.sh-3816-    done
##############################################
v-sim-3.7.2/ltmain.sh-3885-
v-sim-3.7.2/ltmain.sh:3886:    qECHO=`$ECHO "$ECHO" | $SED "$sed_quote_subst"`
v-sim-3.7.2/ltmain.sh-3887-    $ECHO "\
##############################################
v-sim-3.7.2/ltmain.sh-3920-    --lt-dump-script)
v-sim-3.7.2/ltmain.sh:3921:        lt_dump_D=\`\$ECHO \"X\$lt_script_arg0\" | $SED -e 's/^X//' -e 's%/[^/]*$%%'\`
v-sim-3.7.2/ltmain.sh-3922-        test \"X\$lt_dump_D\" = \"X\$lt_script_arg0\" && lt_dump_D=.
v-sim-3.7.2/ltmain.sh:3923:        lt_dump_F=\`\$ECHO \"X\$lt_script_arg0\" | $SED -e 's/^X//' -e 's%^.*/%%'\`
v-sim-3.7.2/ltmain.sh-3924-        cat \"\$lt_dump_D/\$lt_dump_F\"
##############################################
v-sim-3.7.2/ltmain.sh-3947-    \$ECHO \"${outputname}:${output}:\${LINENO}: newargv[\$lt_dump_args_N]: \$lt_arg\"
v-sim-3.7.2/ltmain.sh:3948:    lt_dump_args_N=\`expr \$lt_dump_args_N + 1\`
v-sim-3.7.2/ltmain.sh-3949-  done
##############################################
v-sim-3.7.2/ltmain.sh-4005-  # Find the directory that this script lives in.
v-sim-3.7.2/ltmain.sh:4006:  thisdir=\`\$ECHO \"\$file\" | $SED 's%/[^/]*$%%'\`
v-sim-3.7.2/ltmain.sh-4007-  test \"x\$thisdir\" = \"x\$file\" && thisdir=.
##############################################
v-sim-3.7.2/ltmain.sh-4009-  # Follow symbolic links until we get to the real thisdir.
v-sim-3.7.2/ltmain.sh:4010:  file=\`ls -ld \"\$file\" | $SED -n 's/.*-> //p'\`
v-sim-3.7.2/ltmain.sh-4011-  while test -n \"\$file\"; do
v-sim-3.7.2/ltmain.sh:4012:    destdir=\`\$ECHO \"\$file\" | $SED 's%/[^/]*\$%%'\`
v-sim-3.7.2/ltmain.sh-4013-
##############################################
v-sim-3.7.2/ltmain.sh-4021-
v-sim-3.7.2/ltmain.sh:4022:    file=\`\$ECHO \"\$file\" | $SED 's%^.*/%%'\`
v-sim-3.7.2/ltmain.sh:4023:    file=\`ls -ld \"\$thisdir/\$file\" | $SED -n 's/.*-> //p'\`
v-sim-3.7.2/ltmain.sh-4024-  done
##############################################
v-sim-3.7.2/ltmain.sh-4035-    case \"\$thisdir\" in
v-sim-3.7.2/ltmain.sh:4036:    *[\\\\/]$objdir ) thisdir=\`\$ECHO \"\$thisdir\" | $SED 's%[\\\\/][^\\\\/]*$%%'\` ;;
v-sim-3.7.2/ltmain.sh-4037-    $objdir )   thisdir=. ;;
##############################################
v-sim-3.7.2/ltmain.sh-4041-  # Try to get the absolute directory name.
v-sim-3.7.2/ltmain.sh:4042:  absdir=\`cd \"\$thisdir\" && pwd\`
v-sim-3.7.2/ltmain.sh-4043-  test -n \"\$absdir\" && thisdir=\"\$absdir\"
##############################################
v-sim-3.7.2/ltmain.sh-4051-  if test ! -f \"\$progdir/\$program\" ||
v-sim-3.7.2/ltmain.sh:4052:     { file=\`ls -1dt \"\$progdir/\$program\" \"\$progdir/../\$program\" 2>/dev/null | ${SED} 1q\`; \\
v-sim-3.7.2/ltmain.sh-4053-       test \"X\$file\" != \"X\$progdir/\$program\"; }; then
##############################################
v-sim-3.7.2/ltmain.sh-4066-    if test -n \"\$relink_command\"; then
v-sim-3.7.2/ltmain.sh:4067:      if relink_command_output=\`eval \$relink_command 2>&1\`; then :
v-sim-3.7.2/ltmain.sh-4068-      else
##############################################
v-sim-3.7.2/ltmain.sh-4110-    # The second colon is a workaround for a bug in BeOS R4 sed
v-sim-3.7.2/ltmain.sh:4111:    $shlibpath_var=\`\$ECHO \"\$$shlibpath_var\" | $SED 's/::*\$//'\`
v-sim-3.7.2/ltmain.sh-4112-
##############################################
v-sim-3.7.2/ltmain.sh-5085-    $opt_debug
v-sim-3.7.2/ltmain.sh:5086:    case `eval $file_magic_cmd \"\$1\" 2>/dev/null | $SED -e 10q` in
v-sim-3.7.2/ltmain.sh-5087-    *import*) : ;;
##############################################
v-sim-3.7.2/ltmain.sh-5307-	    moreargs=
v-sim-3.7.2/ltmain.sh:5308:	    for fil in `cat "$save_arg"`
v-sim-3.7.2/ltmain.sh-5309-	    do
##############################################
v-sim-3.7.2/ltmain.sh-5563-	*)
v-sim-3.7.2/ltmain.sh:5564:	  absdir=`cd "$dir" && pwd`
v-sim-3.7.2/ltmain.sh-5565-	  test -z "$absdir" && \
##############################################
v-sim-3.7.2/ltmain.sh-5584-	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
v-sim-3.7.2/ltmain.sh:5585:	  testbindir=`$ECHO "$dir" | $SED 's*/lib$*/bin*'`
v-sim-3.7.2/ltmain.sh-5586-	  case :$dllsearchpath: in
##############################################
v-sim-3.7.2/ltmain.sh-6016-      # get the directories listed in $shlibpath_var
v-sim-3.7.2/ltmain.sh:6017:      eval shlib_search_path=\`\$ECHO \"\${$shlibpath_var}\" \| \$SED \'s/:/ /g\'\`
v-sim-3.7.2/ltmain.sh-6018-    else
##############################################
v-sim-3.7.2/ltmain.sh-6331-		  set dummy $deplibs_check_method; shift
v-sim-3.7.2/ltmain.sh:6332:		  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
v-sim-3.7.2/ltmain.sh-6333-		  if eval "\$ECHO \"$deplib\"" 2>/dev/null | $SED 10q \
##############################################
v-sim-3.7.2/ltmain.sh-6394-	else
v-sim-3.7.2/ltmain.sh:6395:	  func_fatal_error "cannot find the library \`$lib' or unhandled argument \`$deplib'"
v-sim-3.7.2/ltmain.sh-6396-	fi
##############################################
v-sim-3.7.2/ltmain.sh-6423-	if test -n "$inherited_linker_flags"; then
v-sim-3.7.2/ltmain.sh:6424:	  tmp_inherited_linker_flags=`$ECHO "$inherited_linker_flags" | $SED 's/-framework \([^ $]*\)/\1.ltframework/g'`
v-sim-3.7.2/ltmain.sh-6425-	  for tmp_inherited_linker_flag in $tmp_inherited_linker_flags; do
##############################################
v-sim-3.7.2/ltmain.sh-6431-	fi
v-sim-3.7.2/ltmain.sh:6432:	dependency_libs=`$ECHO " $dependency_libs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh-6433-	if test "$linkmode,$pass" = "lib,link" ||
##############################################
v-sim-3.7.2/ltmain.sh-6504-	*)
v-sim-3.7.2/ltmain.sh:6505:	  abs_ladir=`cd "$ladir" && pwd`
v-sim-3.7.2/ltmain.sh-6506-	  if test -z "$abs_ladir"; then
##############################################
v-sim-3.7.2/ltmain.sh-6757-	    shift
v-sim-3.7.2/ltmain.sh:6758:	    libname=`eval "\\$ECHO \"$libname_spec\""`
v-sim-3.7.2/ltmain.sh-6759-	    # use dlname if we got it. it's perfectly good, no?
##############################################
v-sim-3.7.2/ltmain.sh-7049-		*)
v-sim-3.7.2/ltmain.sh:7050:		  absdir=`cd "$dir" && pwd`
v-sim-3.7.2/ltmain.sh-7051-		  if test -z "$absdir"; then
##############################################
v-sim-3.7.2/ltmain.sh-7060-		  depdepl=
v-sim-3.7.2/ltmain.sh:7061:		  eval deplibrary_names=`${SED} -n -e 's/^library_names=\(.*\)$/\1/p' $deplib`
v-sim-3.7.2/ltmain.sh-7062-		  if test -n "$deplibrary_names" ; then
##############################################
v-sim-3.7.2/ltmain.sh-7067-		      depdepl="$absdir/$objdir/$depdepl"
v-sim-3.7.2/ltmain.sh:7068:		      darwin_install_name=`${OTOOL} -L $depdepl | awk '{if (NR == 2) {print $1;exit}}'`
v-sim-3.7.2/ltmain.sh-7069-                      if test -z "$darwin_install_name"; then
v-sim-3.7.2/ltmain.sh:7070:                          darwin_install_name=`${OTOOL64} -L $depdepl  | awk '{if (NR == 2) {print $1;exit}}'`
v-sim-3.7.2/ltmain.sh-7071-                      fi
##############################################
v-sim-3.7.2/ltmain.sh-7082-		else
v-sim-3.7.2/ltmain.sh:7083:		  eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
v-sim-3.7.2/ltmain.sh-7084-		  test -z "$libdir" && \
##############################################
v-sim-3.7.2/ltmain.sh-7105-	else
v-sim-3.7.2/ltmain.sh:7106:	  compiler_flags="$compiler_flags "`$ECHO " $new_inherited_linker_flags" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh-7107-	fi
##############################################
v-sim-3.7.2/ltmain.sh-7254-	test "$module" = no && \
v-sim-3.7.2/ltmain.sh:7255:	  func_fatal_help "libtool library \`$output' must begin with \`lib'"
v-sim-3.7.2/ltmain.sh-7256-
##############################################
v-sim-3.7.2/ltmain.sh-7392-	if test "$age" -gt "$current"; then
v-sim-3.7.2/ltmain.sh:7393:	  func_error "AGE \`$age' is greater than the current interface number \`$current'"
v-sim-3.7.2/ltmain.sh-7394-	  func_fatal_error "\`$vinfo' is not valid version information"
##############################################
v-sim-3.7.2/ltmain.sh-7553-	removelist=
v-sim-3.7.2/ltmain.sh:7554:	tempremovelist=`$ECHO "$output_objdir/*"`
v-sim-3.7.2/ltmain.sh-7555-	for p in $tempremovelist; do
##############################################
v-sim-3.7.2/ltmain.sh-7579-	# Transform .lo files to .o files.
v-sim-3.7.2/ltmain.sh:7580:	oldobjs="$objs "`$ECHO "$libobjs" | $SP2NL | $SED "/\.${libext}$/d; $lo2o" | $NL2SP`
v-sim-3.7.2/ltmain.sh-7581-      fi
##############################################
v-sim-3.7.2/ltmain.sh-7584-      #for path in $notinst_path; do
v-sim-3.7.2/ltmain.sh:7585:      #	lib_search_path=`$ECHO "$lib_search_path " | $SED "s% $path % %g"`
v-sim-3.7.2/ltmain.sh:7586:      #	deplibs=`$ECHO "$deplibs " | $SED "s% -L$path % %g"`
v-sim-3.7.2/ltmain.sh:7587:      #	dependency_libs=`$ECHO "$dependency_libs " | $SED "s% -L$path % %g"`
v-sim-3.7.2/ltmain.sh-7588-      #done
##############################################
v-sim-3.7.2/ltmain.sh-7705-		if test -n "$i" ; then
v-sim-3.7.2/ltmain.sh:7706:		  libname=`eval "\\$ECHO \"$libname_spec\""`
v-sim-3.7.2/ltmain.sh:7707:		  deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
v-sim-3.7.2/ltmain.sh-7708-		  set dummy $deplib_matches; shift
v-sim-3.7.2/ltmain.sh-7709-		  deplib_match=$1
v-sim-3.7.2/ltmain.sh:7710:		  if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
v-sim-3.7.2/ltmain.sh-7711-		    func_append newdeplibs " $i"
##############################################
v-sim-3.7.2/ltmain.sh-7748-		  if test -n "$i" ; then
v-sim-3.7.2/ltmain.sh:7749:		    libname=`eval "\\$ECHO \"$libname_spec\""`
v-sim-3.7.2/ltmain.sh:7750:		    deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
v-sim-3.7.2/ltmain.sh-7751-		    set dummy $deplib_matches; shift
v-sim-3.7.2/ltmain.sh-7752-		    deplib_match=$1
v-sim-3.7.2/ltmain.sh:7753:		    if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
v-sim-3.7.2/ltmain.sh-7754-		      func_append newdeplibs " $i"
##############################################
v-sim-3.7.2/ltmain.sh-7783-	  set dummy $deplibs_check_method; shift
v-sim-3.7.2/ltmain.sh:7784:	  file_magic_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
v-sim-3.7.2/ltmain.sh-7785-	  for a_deplib in $deplibs; do
##############################################
v-sim-3.7.2/ltmain.sh-7798-	      if test -n "$a_deplib" ; then
v-sim-3.7.2/ltmain.sh:7799:		libname=`eval "\\$ECHO \"$libname_spec\""`
v-sim-3.7.2/ltmain.sh-7800-		if test -n "$file_magic_glob"; then
v-sim-3.7.2/ltmain.sh:7801:		  libnameglob=`func_echo_all "$libname" | $SED -e $file_magic_glob`
v-sim-3.7.2/ltmain.sh-7802-		else
##############################################
v-sim-3.7.2/ltmain.sh-7808-		    shopt -s nocaseglob
v-sim-3.7.2/ltmain.sh:7809:		    potential_libs=`ls $i/$libnameglob[.-]* 2>/dev/null`
v-sim-3.7.2/ltmain.sh-7810-		    $nocaseglob
v-sim-3.7.2/ltmain.sh-7811-		  else
v-sim-3.7.2/ltmain.sh:7812:		    potential_libs=`ls $i/$libnameglob[.-]* 2>/dev/null`
v-sim-3.7.2/ltmain.sh-7813-		  fi
##############################################
v-sim-3.7.2/ltmain.sh-7826-		      while test -h "$potlib" 2>/dev/null; do
v-sim-3.7.2/ltmain.sh:7827:			potliblink=`ls -ld $potlib | ${SED} 's/.* -> //'`
v-sim-3.7.2/ltmain.sh-7828-			case $potliblink in
v-sim-3.7.2/ltmain.sh-7829-			[\\/]* | [A-Za-z]:[\\/]*) potlib="$potliblink";;
v-sim-3.7.2/ltmain.sh:7830:			*) potlib=`$ECHO "$potlib" | $SED 's,[^/]*$,,'`"$potliblink";;
v-sim-3.7.2/ltmain.sh-7831-			esac
##############################################
v-sim-3.7.2/ltmain.sh-7867-	  set dummy $deplibs_check_method; shift
v-sim-3.7.2/ltmain.sh:7868:	  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
v-sim-3.7.2/ltmain.sh-7869-	  for a_deplib in $deplibs; do
##############################################
v-sim-3.7.2/ltmain.sh-7882-	      if test -n "$a_deplib" ; then
v-sim-3.7.2/ltmain.sh:7883:		libname=`eval "\\$ECHO \"$libname_spec\""`
v-sim-3.7.2/ltmain.sh-7884-		for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
v-sim-3.7.2/ltmain.sh:7885:		  potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
v-sim-3.7.2/ltmain.sh-7886-		  for potent_lib in $potential_libs; do
##############################################
v-sim-3.7.2/ltmain.sh-7921-	  newdeplibs=""
v-sim-3.7.2/ltmain.sh:7922:	  tmp_deplibs=`$ECHO " $deplibs" | $SED 's/ -lc$//; s/ -[LR][^ ]*//g'`
v-sim-3.7.2/ltmain.sh-7923-	  if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
##############################################
v-sim-3.7.2/ltmain.sh-7925-	      # can't use Xsed below, because $i might contain '/'
v-sim-3.7.2/ltmain.sh:7926:	      tmp_deplibs=`$ECHO " $tmp_deplibs" | $SED "s,$i,,"`
v-sim-3.7.2/ltmain.sh-7927-	    done
##############################################
v-sim-3.7.2/ltmain.sh-7951-	  # On Rhapsody replace the C library with the System framework
v-sim-3.7.2/ltmain.sh:7952:	  newdeplibs=`$ECHO " $newdeplibs" | $SED 's/ -lc / System.ltframework /'`
v-sim-3.7.2/ltmain.sh-7953-	  ;;
##############################################
v-sim-3.7.2/ltmain.sh-8003-	*-*-darwin*)
v-sim-3.7.2/ltmain.sh:8004:	  newdeplibs=`$ECHO " $newdeplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh:8005:	  new_inherited_linker_flags=`$ECHO " $new_inherited_linker_flags" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh:8006:	  deplibs=`$ECHO " $deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh-8007-	  ;;
##############################################
v-sim-3.7.2/ltmain.sh-8130-	# Use standard objects if they are pic
v-sim-3.7.2/ltmain.sh:8131:	test -z "$pic_flag" && libobjs=`$ECHO "$libobjs" | $SP2NL | $SED "$lo2o" | $NL2SP`
v-sim-3.7.2/ltmain.sh-8132-	test "X$libobjs" = "X " && libobjs=
##############################################
v-sim-3.7.2/ltmain.sh-8145-	    # exporting using user supplied symfile
v-sim-3.7.2/ltmain.sh:8146:	    if test "x`$SED 1q $export_symbols`" != xEXPORTS; then
v-sim-3.7.2/ltmain.sh-8147-	      # and it's NOT already a .def file. Must figure out
##############################################
v-sim-3.7.2/ltmain.sh-8642-	  eval tmp_whole_archive_flags=\"$whole_archive_flag_spec\"
v-sim-3.7.2/ltmain.sh:8643:	  reload_conv_objs=$reload_objs\ `$ECHO "$tmp_whole_archive_flags" | $SED 's|,| |g'`
v-sim-3.7.2/ltmain.sh-8644-	else
##############################################
v-sim-3.7.2/ltmain.sh-8656-      # Create the old-style object.
v-sim-3.7.2/ltmain.sh:8657:      reload_objs="$objs$old_deplibs "`$ECHO "$libobjs" | $SP2NL | $SED "/\.${libext}$/d; /\.lib$/d; $lo2o" | $NL2SP`" $reload_conv_objs" ### testsuite: skip nested quoting test
v-sim-3.7.2/ltmain.sh-8658-
##############################################
v-sim-3.7.2/ltmain.sh-8716-	# On Rhapsody replace the C library is the System framework
v-sim-3.7.2/ltmain.sh:8717:	compile_deplibs=`$ECHO " $compile_deplibs" | $SED 's/ -lc / System.ltframework /'`
v-sim-3.7.2/ltmain.sh:8718:	finalize_deplibs=`$ECHO " $finalize_deplibs" | $SED 's/ -lc / System.ltframework /'`
v-sim-3.7.2/ltmain.sh-8719-	;;
##############################################
v-sim-3.7.2/ltmain.sh-8734-	# Time to change all our "foo.ltframework" stuff back to "-framework foo"
v-sim-3.7.2/ltmain.sh:8735:	compile_deplibs=`$ECHO " $compile_deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh:8736:	finalize_deplibs=`$ECHO " $finalize_deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
v-sim-3.7.2/ltmain.sh-8737-	;;
##############################################
v-sim-3.7.2/ltmain.sh-8812-	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
v-sim-3.7.2/ltmain.sh:8813:	  testbindir=`${ECHO} "$libdir" | ${SED} -e 's*/lib$*/bin*'`
v-sim-3.7.2/ltmain.sh-8814-	  case :$dllsearchpath: in
##############################################
v-sim-3.7.2/ltmain.sh-8872-	# Transform all the library objects into standard objects.
v-sim-3.7.2/ltmain.sh:8873:	compile_command=`$ECHO "$compile_command" | $SP2NL | $SED "$lo2o" | $NL2SP`
v-sim-3.7.2/ltmain.sh:8874:	finalize_command=`$ECHO "$finalize_command" | $SP2NL | $SED "$lo2o" | $NL2SP`
v-sim-3.7.2/ltmain.sh-8875-      fi
##############################################
v-sim-3.7.2/ltmain.sh-8902-	# Replace the output file specification.
v-sim-3.7.2/ltmain.sh:8903:	compile_command=`$ECHO "$compile_command" | $SED 's%@OUTPUT@%'"$output"'%g'`
v-sim-3.7.2/ltmain.sh-8904-	link_command="$compile_command$compile_rpath"
##############################################
v-sim-3.7.2/ltmain.sh-8911-	  func_to_tool_file "$output"
v-sim-3.7.2/ltmain.sh:8912:	  postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
v-sim-3.7.2/ltmain.sh-8913-	  func_execute_cmds "$postlink_cmds" 'exit $?'
##############################################
v-sim-3.7.2/ltmain.sh-8955-	# Replace the output file specification.
v-sim-3.7.2/ltmain.sh:8956:	link_command=`$ECHO "$link_command" | $SED 's%@OUTPUT@%'"$output"'%g'`
v-sim-3.7.2/ltmain.sh-8957-	# Delete the old output file.
##############################################
v-sim-3.7.2/ltmain.sh-8963-	  func_to_tool_file "$output"
v-sim-3.7.2/ltmain.sh:8964:	  postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
v-sim-3.7.2/ltmain.sh-8965-	  func_execute_cmds "$postlink_cmds" 'exit $?'
##############################################
v-sim-3.7.2/ltmain.sh-8981-	  if test "$fast_install" = yes; then
v-sim-3.7.2/ltmain.sh:8982:	    relink_command=`$ECHO "$compile_var$compile_command$compile_rpath" | $SED 's%@OUTPUT@%\$progdir/\$file%g'`
v-sim-3.7.2/ltmain.sh-8983-	  else
##############################################
v-sim-3.7.2/ltmain.sh-8993-      # Replace the output file specification.
v-sim-3.7.2/ltmain.sh:8994:      link_command=`$ECHO "$link_command" | $SED 's%@OUTPUT@%'"$output_objdir/$outputname"'%g'`
v-sim-3.7.2/ltmain.sh-8995-
##############################################
v-sim-3.7.2/ltmain.sh-9002-	func_to_tool_file "$output_objdir/$outputname"
v-sim-3.7.2/ltmain.sh:9003:	postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output_objdir/$outputname"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
v-sim-3.7.2/ltmain.sh-9004-	func_execute_cmds "$postlink_cmds" 'exit $?'
##############################################
v-sim-3.7.2/ltmain.sh-9023-	relink_command="(cd `pwd`; $relink_command)"
v-sim-3.7.2/ltmain.sh:9024:	relink_command=`$ECHO "$relink_command" | $SED "$sed_quote_subst"`
v-sim-3.7.2/ltmain.sh-9025-      fi
##############################################
v-sim-3.7.2/ltmain.sh-9267-      relink_command="(cd `pwd`; $SHELL $progpath $preserve_args --mode=relink $libtool_args @inst_prefix_dir@)"
v-sim-3.7.2/ltmain.sh:9268:      relink_command=`$ECHO "$relink_command" | $SED "$sed_quote_subst"`
v-sim-3.7.2/ltmain.sh-9269-      if test "$hardcode_automatic" = yes ; then
##############################################
v-sim-3.7.2/ltmain.sh-9288-		func_resolve_sysroot "$deplib"
v-sim-3.7.2/ltmain.sh:9289:		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $func_resolve_sysroot_result`
v-sim-3.7.2/ltmain.sh-9290-		test -z "$libdir" && \
##############################################
v-sim-3.7.2/ltmain.sh-9314-		name="$func_basename_result"
v-sim-3.7.2/ltmain.sh:9315:		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
v-sim-3.7.2/ltmain.sh-9316-		test -z "$libdir" && \
##############################################
v-sim-3.7.2/ltmain.sh-9333-		name="$func_basename_result"
v-sim-3.7.2/ltmain.sh:9334:		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
v-sim-3.7.2/ltmain.sh-9335-		test -z "$libdir" && \
##############################################
v-sim-3.7.2/ltmain.sh-9652-# ### BEGIN LIBTOOL TAG CONFIG: disable-static
v-sim-3.7.2/ltmain.sh:9653:build_old_libs=`case $build_libtool_libs in yes) echo no;; *) echo yes;; esac`
v-sim-3.7.2/ltmain.sh-9654-# ### END LIBTOOL TAG CONFIG: disable-static
##############################################
v-sim-3.7.2/missing-129-       # Could not run --version or --help.  This is probably someone
v-sim-3.7.2/missing:130:       # running `$TOOL --version' or `$TOOL --help' to check whether
v-sim-3.7.2/missing-131-       # $TOOL exists and not knowing $TOOL uses missing.
##############################################
v-sim-3.7.2/missing-163-         from any GNU archive site."
v-sim-3.7.2/missing:164:    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
v-sim-3.7.2/missing-165-    test -z "$files" && files="config.h"
##############################################
v-sim-3.7.2/missing-192-         proper tools for further handling them.
v-sim-3.7.2/missing:193:         You can get \`$1' as part of \`Autoconf' from any GNU
v-sim-3.7.2/missing-194-         archive site."
v-sim-3.7.2/missing-195-
v-sim-3.7.2/missing:196:    file=`echo "$*" | sed -n "$sed_output"`
v-sim-3.7.2/missing:197:    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
v-sim-3.7.2/missing-198-    if test -f "$file"; then
##############################################
v-sim-3.7.2/missing-221-	*.y)
v-sim-3.7.2/missing:222:	    SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
v-sim-3.7.2/missing-223-	    if test -f "$SRCFILE"; then
##############################################
v-sim-3.7.2/missing-225-	    fi
v-sim-3.7.2/missing:226:	    SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
v-sim-3.7.2/missing-227-	    if test -f "$SRCFILE"; then
##############################################
v-sim-3.7.2/missing-251-	*.l)
v-sim-3.7.2/missing:252:	    SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
v-sim-3.7.2/missing-253-	    if test -f "$SRCFILE"; then
##############################################
v-sim-3.7.2/missing-270-
v-sim-3.7.2/missing:271:    file=`echo "$*" | sed -n "$sed_output"`
v-sim-3.7.2/missing:272:    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
v-sim-3.7.2/missing-273-    if test -f "$file"; then
##############################################
v-sim-3.7.2/missing-290-    # The file to touch is that specified with -o ...
v-sim-3.7.2/missing:291:    file=`echo "$*" | sed -n "$sed_output"`
v-sim-3.7.2/missing:292:    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
v-sim-3.7.2/missing-293-    if test -z "$file"; then
v-sim-3.7.2/missing-294-      # ... or it is the one specified with @setfilename ...
v-sim-3.7.2/missing:295:      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
v-sim-3.7.2/missing-296-      file=`sed -n '
##############################################
v-sim-3.7.2/missing-302-      # ... or it is derived from the source name (dir/f.texi becomes f.info)
v-sim-3.7.2/missing:303:      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
v-sim-3.7.2/missing-304-    fi
##############################################
v-sim-3.7.2/src/Makefile.in-62-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/src/Makefile.in:63:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/src/Makefile.in-64-am__vpath_adj = case $$p in \
v-sim-3.7.2/src/Makefile.in:65:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/src/Makefile.in-66-    *) f=$$p;; \
v-sim-3.7.2/src/Makefile.in-67-  esac;
v-sim-3.7.2/src/Makefile.in:68:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/src/Makefile.in-69-am__install_max = 40
v-sim-3.7.2/src/Makefile.in-70-am__nobase_strip_setup = \
v-sim-3.7.2/src/Makefile.in:71:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/src/Makefile.in-72-am__nobase_strip = \
##############################################
v-sim-3.7.2/src/Makefile.in-906-	@list='$(lib_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/src/Makefile.in:907:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/src/Makefile.in-908-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/src/Makefile.in-915-	@list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/src/Makefile.in:916:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/src/Makefile.in-917-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/src/Makefile.in-964-	test -n "$(EXEEXT)" || exit 0; \
v-sim-3.7.2/src/Makefile.in:965:	list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
v-sim-3.7.2/src/Makefile.in-966-	echo " rm -f" $$list; \
##############################################
v-sim-3.7.2/src/Makefile.in-973-	test -n "$(EXEEXT)" || exit 0; \
v-sim-3.7.2/src/Makefile.in:974:	list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
v-sim-3.7.2/src/Makefile.in-975-	echo " rm -f" $$list; \
##############################################
v-sim-3.7.2/src/Makefile.in-1131-.c.obj:
v-sim-3.7.2/src/Makefile.in:1132:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/src/Makefile.in-1133-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/src/Makefile.in-1135-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1136:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/src/Makefile.in-1137-
##############################################
v-sim-3.7.2/src/Makefile.in-1145-dumpToTiff.lo: dumpModules/dumpToTiff.c
v-sim-3.7.2/src/Makefile.in:1146:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToTiff.lo -MD -MP -MF $(DEPDIR)/dumpToTiff.Tpo -c -o dumpToTiff.lo `test -f 'dumpModules/dumpToTiff.c' || echo '$(srcdir)/'`dumpModules/dumpToTiff.c
v-sim-3.7.2/src/Makefile.in-1147-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToTiff.Tpo $(DEPDIR)/dumpToTiff.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1149-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1150:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToTiff.lo `test -f 'dumpModules/dumpToTiff.c' || echo '$(srcdir)/'`dumpModules/dumpToTiff.c
v-sim-3.7.2/src/Makefile.in-1151-
v-sim-3.7.2/src/Makefile.in-1152-dumpToGif.lo: dumpModules/dumpToGif.c
v-sim-3.7.2/src/Makefile.in:1153:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToGif.lo -MD -MP -MF $(DEPDIR)/dumpToGif.Tpo -c -o dumpToGif.lo `test -f 'dumpModules/dumpToGif.c' || echo '$(srcdir)/'`dumpModules/dumpToGif.c
v-sim-3.7.2/src/Makefile.in-1154-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToGif.Tpo $(DEPDIR)/dumpToGif.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1156-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1157:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToGif.lo `test -f 'dumpModules/dumpToGif.c' || echo '$(srcdir)/'`dumpModules/dumpToGif.c
v-sim-3.7.2/src/Makefile.in-1158-
v-sim-3.7.2/src/Makefile.in-1159-dumpToPsAndPdf.lo: dumpModules/dumpToPsAndPdf.c
v-sim-3.7.2/src/Makefile.in:1160:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToPsAndPdf.lo -MD -MP -MF $(DEPDIR)/dumpToPsAndPdf.Tpo -c -o dumpToPsAndPdf.lo `test -f 'dumpModules/dumpToPsAndPdf.c' || echo '$(srcdir)/'`dumpModules/dumpToPsAndPdf.c
v-sim-3.7.2/src/Makefile.in-1161-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToPsAndPdf.Tpo $(DEPDIR)/dumpToPsAndPdf.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1163-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1164:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToPsAndPdf.lo `test -f 'dumpModules/dumpToPsAndPdf.c' || echo '$(srcdir)/'`dumpModules/dumpToPsAndPdf.c
v-sim-3.7.2/src/Makefile.in-1165-
v-sim-3.7.2/src/Makefile.in-1166-dumpToAscii.lo: dumpModules/dumpToAscii.c
v-sim-3.7.2/src/Makefile.in:1167:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToAscii.lo -MD -MP -MF $(DEPDIR)/dumpToAscii.Tpo -c -o dumpToAscii.lo `test -f 'dumpModules/dumpToAscii.c' || echo '$(srcdir)/'`dumpModules/dumpToAscii.c
v-sim-3.7.2/src/Makefile.in-1168-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToAscii.Tpo $(DEPDIR)/dumpToAscii.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1170-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1171:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToAscii.lo `test -f 'dumpModules/dumpToAscii.c' || echo '$(srcdir)/'`dumpModules/dumpToAscii.c
v-sim-3.7.2/src/Makefile.in-1172-
v-sim-3.7.2/src/Makefile.in-1173-dumpToSVG.lo: dumpModules/dumpToSVG.c
v-sim-3.7.2/src/Makefile.in:1174:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToSVG.lo -MD -MP -MF $(DEPDIR)/dumpToSVG.Tpo -c -o dumpToSVG.lo `test -f 'dumpModules/dumpToSVG.c' || echo '$(srcdir)/'`dumpModules/dumpToSVG.c
v-sim-3.7.2/src/Makefile.in-1175-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToSVG.Tpo $(DEPDIR)/dumpToSVG.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1177-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1178:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToSVG.lo `test -f 'dumpModules/dumpToSVG.c' || echo '$(srcdir)/'`dumpModules/dumpToSVG.c
v-sim-3.7.2/src/Makefile.in-1179-
v-sim-3.7.2/src/Makefile.in-1180-dumpToXyz.lo: dumpModules/dumpToXyz.c
v-sim-3.7.2/src/Makefile.in:1181:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToXyz.lo -MD -MP -MF $(DEPDIR)/dumpToXyz.Tpo -c -o dumpToXyz.lo `test -f 'dumpModules/dumpToXyz.c' || echo '$(srcdir)/'`dumpModules/dumpToXyz.c
v-sim-3.7.2/src/Makefile.in-1182-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToXyz.Tpo $(DEPDIR)/dumpToXyz.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1184-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1185:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToXyz.lo `test -f 'dumpModules/dumpToXyz.c' || echo '$(srcdir)/'`dumpModules/dumpToXyz.c
v-sim-3.7.2/src/Makefile.in-1186-
v-sim-3.7.2/src/Makefile.in-1187-dumpToABINIT.lo: dumpModules/dumpToABINIT.c
v-sim-3.7.2/src/Makefile.in:1188:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpToABINIT.lo -MD -MP -MF $(DEPDIR)/dumpToABINIT.Tpo -c -o dumpToABINIT.lo `test -f 'dumpModules/dumpToABINIT.c' || echo '$(srcdir)/'`dumpModules/dumpToABINIT.c
v-sim-3.7.2/src/Makefile.in-1189-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpToABINIT.Tpo $(DEPDIR)/dumpToABINIT.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1191-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1192:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpToABINIT.lo `test -f 'dumpModules/dumpToABINIT.c' || echo '$(srcdir)/'`dumpModules/dumpToABINIT.c
v-sim-3.7.2/src/Makefile.in-1193-
v-sim-3.7.2/src/Makefile.in-1194-dumpThroughGdkPixbuf.lo: dumpModules/dumpThroughGdkPixbuf.c
v-sim-3.7.2/src/Makefile.in:1195:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dumpThroughGdkPixbuf.lo -MD -MP -MF $(DEPDIR)/dumpThroughGdkPixbuf.Tpo -c -o dumpThroughGdkPixbuf.lo `test -f 'dumpModules/dumpThroughGdkPixbuf.c' || echo '$(srcdir)/'`dumpModules/dumpThroughGdkPixbuf.c
v-sim-3.7.2/src/Makefile.in-1196-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dumpThroughGdkPixbuf.Tpo $(DEPDIR)/dumpThroughGdkPixbuf.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1198-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1199:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dumpThroughGdkPixbuf.lo `test -f 'dumpModules/dumpThroughGdkPixbuf.c' || echo '$(srcdir)/'`dumpModules/dumpThroughGdkPixbuf.c
v-sim-3.7.2/src/Makefile.in-1200-
v-sim-3.7.2/src/Makefile.in-1201-nodes.lo: extensions/nodes.c
v-sim-3.7.2/src/Makefile.in:1202:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT nodes.lo -MD -MP -MF $(DEPDIR)/nodes.Tpo -c -o nodes.lo `test -f 'extensions/nodes.c' || echo '$(srcdir)/'`extensions/nodes.c
v-sim-3.7.2/src/Makefile.in-1203-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/nodes.Tpo $(DEPDIR)/nodes.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1205-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1206:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o nodes.lo `test -f 'extensions/nodes.c' || echo '$(srcdir)/'`extensions/nodes.c
v-sim-3.7.2/src/Makefile.in-1207-
v-sim-3.7.2/src/Makefile.in-1208-box.lo: extensions/box.c
v-sim-3.7.2/src/Makefile.in:1209:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT box.lo -MD -MP -MF $(DEPDIR)/box.Tpo -c -o box.lo `test -f 'extensions/box.c' || echo '$(srcdir)/'`extensions/box.c
v-sim-3.7.2/src/Makefile.in-1210-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/box.Tpo $(DEPDIR)/box.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1212-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1213:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o box.lo `test -f 'extensions/box.c' || echo '$(srcdir)/'`extensions/box.c
v-sim-3.7.2/src/Makefile.in-1214-
v-sim-3.7.2/src/Makefile.in-1215-axes.lo: extensions/axes.c
v-sim-3.7.2/src/Makefile.in:1216:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT axes.lo -MD -MP -MF $(DEPDIR)/axes.Tpo -c -o axes.lo `test -f 'extensions/axes.c' || echo '$(srcdir)/'`extensions/axes.c
v-sim-3.7.2/src/Makefile.in-1217-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/axes.Tpo $(DEPDIR)/axes.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1219-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1220:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o axes.lo `test -f 'extensions/axes.c' || echo '$(srcdir)/'`extensions/axes.c
v-sim-3.7.2/src/Makefile.in-1221-
v-sim-3.7.2/src/Makefile.in-1222-fogAndBGColor.lo: extensions/fogAndBGColor.c
v-sim-3.7.2/src/Makefile.in:1223:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fogAndBGColor.lo -MD -MP -MF $(DEPDIR)/fogAndBGColor.Tpo -c -o fogAndBGColor.lo `test -f 'extensions/fogAndBGColor.c' || echo '$(srcdir)/'`extensions/fogAndBGColor.c
v-sim-3.7.2/src/Makefile.in-1224-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/fogAndBGColor.Tpo $(DEPDIR)/fogAndBGColor.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1226-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1227:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fogAndBGColor.lo `test -f 'extensions/fogAndBGColor.c' || echo '$(srcdir)/'`extensions/fogAndBGColor.c
v-sim-3.7.2/src/Makefile.in-1228-
v-sim-3.7.2/src/Makefile.in-1229-scale.lo: extensions/scale.c
v-sim-3.7.2/src/Makefile.in:1230:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT scale.lo -MD -MP -MF $(DEPDIR)/scale.Tpo -c -o scale.lo `test -f 'extensions/scale.c' || echo '$(srcdir)/'`extensions/scale.c
v-sim-3.7.2/src/Makefile.in-1231-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/scale.Tpo $(DEPDIR)/scale.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1233-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1234:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o scale.lo `test -f 'extensions/scale.c' || echo '$(srcdir)/'`extensions/scale.c
v-sim-3.7.2/src/Makefile.in-1235-
v-sim-3.7.2/src/Makefile.in-1236-infos.lo: extensions/infos.c
v-sim-3.7.2/src/Makefile.in:1237:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT infos.lo -MD -MP -MF $(DEPDIR)/infos.Tpo -c -o infos.lo `test -f 'extensions/infos.c' || echo '$(srcdir)/'`extensions/infos.c
v-sim-3.7.2/src/Makefile.in-1238-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/infos.Tpo $(DEPDIR)/infos.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1240-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1241:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o infos.lo `test -f 'extensions/infos.c' || echo '$(srcdir)/'`extensions/infos.c
v-sim-3.7.2/src/Makefile.in-1242-
v-sim-3.7.2/src/Makefile.in-1243-legend.lo: extensions/legend.c
v-sim-3.7.2/src/Makefile.in:1244:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT legend.lo -MD -MP -MF $(DEPDIR)/legend.Tpo -c -o legend.lo `test -f 'extensions/legend.c' || echo '$(srcdir)/'`extensions/legend.c
v-sim-3.7.2/src/Makefile.in-1245-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/legend.Tpo $(DEPDIR)/legend.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1247-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1248:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o legend.lo `test -f 'extensions/legend.c' || echo '$(srcdir)/'`extensions/legend.c
v-sim-3.7.2/src/Makefile.in-1249-
v-sim-3.7.2/src/Makefile.in-1250-rings.lo: extensions/rings.c
v-sim-3.7.2/src/Makefile.in:1251:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT rings.lo -MD -MP -MF $(DEPDIR)/rings.Tpo -c -o rings.lo `test -f 'extensions/rings.c' || echo '$(srcdir)/'`extensions/rings.c
v-sim-3.7.2/src/Makefile.in-1252-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/rings.Tpo $(DEPDIR)/rings.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1254-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1255:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o rings.lo `test -f 'extensions/rings.c' || echo '$(srcdir)/'`extensions/rings.c
v-sim-3.7.2/src/Makefile.in-1256-
v-sim-3.7.2/src/Makefile.in-1257-marks.lo: extensions/marks.c
v-sim-3.7.2/src/Makefile.in:1258:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT marks.lo -MD -MP -MF $(DEPDIR)/marks.Tpo -c -o marks.lo `test -f 'extensions/marks.c' || echo '$(srcdir)/'`extensions/marks.c
v-sim-3.7.2/src/Makefile.in-1259-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/marks.Tpo $(DEPDIR)/marks.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1261-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1262:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o marks.lo `test -f 'extensions/marks.c' || echo '$(srcdir)/'`extensions/marks.c
v-sim-3.7.2/src/Makefile.in-1263-
v-sim-3.7.2/src/Makefile.in-1264-pairs.lo: extensions/pairs.c
v-sim-3.7.2/src/Makefile.in:1265:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT pairs.lo -MD -MP -MF $(DEPDIR)/pairs.Tpo -c -o pairs.lo `test -f 'extensions/pairs.c' || echo '$(srcdir)/'`extensions/pairs.c
v-sim-3.7.2/src/Makefile.in-1266-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/pairs.Tpo $(DEPDIR)/pairs.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1268-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1269:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o pairs.lo `test -f 'extensions/pairs.c' || echo '$(srcdir)/'`extensions/pairs.c
v-sim-3.7.2/src/Makefile.in-1270-
v-sim-3.7.2/src/Makefile.in-1271-shade.lo: extensions/shade.c
v-sim-3.7.2/src/Makefile.in:1272:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT shade.lo -MD -MP -MF $(DEPDIR)/shade.Tpo -c -o shade.lo `test -f 'extensions/shade.c' || echo '$(srcdir)/'`extensions/shade.c
v-sim-3.7.2/src/Makefile.in-1273-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/shade.Tpo $(DEPDIR)/shade.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1275-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1276:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o shade.lo `test -f 'extensions/shade.c' || echo '$(srcdir)/'`extensions/shade.c
v-sim-3.7.2/src/Makefile.in-1277-
v-sim-3.7.2/src/Makefile.in-1278-frame.lo: extensions/frame.c
v-sim-3.7.2/src/Makefile.in:1279:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT frame.lo -MD -MP -MF $(DEPDIR)/frame.Tpo -c -o frame.lo `test -f 'extensions/frame.c' || echo '$(srcdir)/'`extensions/frame.c
v-sim-3.7.2/src/Makefile.in-1280-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/frame.Tpo $(DEPDIR)/frame.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1282-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1283:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o frame.lo `test -f 'extensions/frame.c' || echo '$(srcdir)/'`extensions/frame.c
v-sim-3.7.2/src/Makefile.in-1284-
v-sim-3.7.2/src/Makefile.in-1285-node_vectors.lo: extensions/node_vectors.c
v-sim-3.7.2/src/Makefile.in:1286:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT node_vectors.lo -MD -MP -MF $(DEPDIR)/node_vectors.Tpo -c -o node_vectors.lo `test -f 'extensions/node_vectors.c' || echo '$(srcdir)/'`extensions/node_vectors.c
v-sim-3.7.2/src/Makefile.in-1287-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/node_vectors.Tpo $(DEPDIR)/node_vectors.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1289-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1290:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o node_vectors.lo `test -f 'extensions/node_vectors.c' || echo '$(srcdir)/'`extensions/node_vectors.c
v-sim-3.7.2/src/Makefile.in-1291-
v-sim-3.7.2/src/Makefile.in-1292-planes.lo: extensions/planes.c
v-sim-3.7.2/src/Makefile.in:1293:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT planes.lo -MD -MP -MF $(DEPDIR)/planes.Tpo -c -o planes.lo `test -f 'extensions/planes.c' || echo '$(srcdir)/'`extensions/planes.c
v-sim-3.7.2/src/Makefile.in-1294-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/planes.Tpo $(DEPDIR)/planes.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1296-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1297:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o planes.lo `test -f 'extensions/planes.c' || echo '$(srcdir)/'`extensions/planes.c
v-sim-3.7.2/src/Makefile.in-1298-
v-sim-3.7.2/src/Makefile.in-1299-surfs.lo: extensions/surfs.c
v-sim-3.7.2/src/Makefile.in:1300:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfs.lo -MD -MP -MF $(DEPDIR)/surfs.Tpo -c -o surfs.lo `test -f 'extensions/surfs.c' || echo '$(srcdir)/'`extensions/surfs.c
v-sim-3.7.2/src/Makefile.in-1301-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfs.Tpo $(DEPDIR)/surfs.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1303-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1304:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfs.lo `test -f 'extensions/surfs.c' || echo '$(srcdir)/'`extensions/surfs.c
v-sim-3.7.2/src/Makefile.in-1305-
v-sim-3.7.2/src/Makefile.in-1306-maps.lo: extensions/maps.c
v-sim-3.7.2/src/Makefile.in:1307:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT maps.lo -MD -MP -MF $(DEPDIR)/maps.Tpo -c -o maps.lo `test -f 'extensions/maps.c' || echo '$(srcdir)/'`extensions/maps.c
v-sim-3.7.2/src/Makefile.in-1308-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/maps.Tpo $(DEPDIR)/maps.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1310-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1311:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o maps.lo `test -f 'extensions/maps.c' || echo '$(srcdir)/'`extensions/maps.c
v-sim-3.7.2/src/Makefile.in-1312-
v-sim-3.7.2/src/Makefile.in-1313-paths.lo: extensions/paths.c
v-sim-3.7.2/src/Makefile.in:1314:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT paths.lo -MD -MP -MF $(DEPDIR)/paths.Tpo -c -o paths.lo `test -f 'extensions/paths.c' || echo '$(srcdir)/'`extensions/paths.c
v-sim-3.7.2/src/Makefile.in-1315-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/paths.Tpo $(DEPDIR)/paths.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1317-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1318:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o paths.lo `test -f 'extensions/paths.c' || echo '$(srcdir)/'`extensions/paths.c
v-sim-3.7.2/src/Makefile.in-1319-
v-sim-3.7.2/src/Makefile.in-1320-forces.lo: extensions/forces.c
v-sim-3.7.2/src/Makefile.in:1321:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT forces.lo -MD -MP -MF $(DEPDIR)/forces.Tpo -c -o forces.lo `test -f 'extensions/forces.c' || echo '$(srcdir)/'`extensions/forces.c
v-sim-3.7.2/src/Makefile.in-1322-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/forces.Tpo $(DEPDIR)/forces.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1324-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1325:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o forces.lo `test -f 'extensions/forces.c' || echo '$(srcdir)/'`extensions/forces.c
v-sim-3.7.2/src/Makefile.in-1326-
v-sim-3.7.2/src/Makefile.in-1327-visu_WGL.lo: OSOpenGL/visu_WGL.c
v-sim-3.7.2/src/Makefile.in:1328:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT visu_WGL.lo -MD -MP -MF $(DEPDIR)/visu_WGL.Tpo -c -o visu_WGL.lo `test -f 'OSOpenGL/visu_WGL.c' || echo '$(srcdir)/'`OSOpenGL/visu_WGL.c
v-sim-3.7.2/src/Makefile.in-1329-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/visu_WGL.Tpo $(DEPDIR)/visu_WGL.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1331-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1332:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o visu_WGL.lo `test -f 'OSOpenGL/visu_WGL.c' || echo '$(srcdir)/'`OSOpenGL/visu_WGL.c
v-sim-3.7.2/src/Makefile.in-1333-
v-sim-3.7.2/src/Makefile.in-1334-visu_GLX.lo: OSOpenGL/visu_GLX.c
v-sim-3.7.2/src/Makefile.in:1335:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT visu_GLX.lo -MD -MP -MF $(DEPDIR)/visu_GLX.Tpo -c -o visu_GLX.lo `test -f 'OSOpenGL/visu_GLX.c' || echo '$(srcdir)/'`OSOpenGL/visu_GLX.c
v-sim-3.7.2/src/Makefile.in-1336-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/visu_GLX.Tpo $(DEPDIR)/visu_GLX.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1338-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1339:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o visu_GLX.lo `test -f 'OSOpenGL/visu_GLX.c' || echo '$(srcdir)/'`OSOpenGL/visu_GLX.c
v-sim-3.7.2/src/Makefile.in-1340-
v-sim-3.7.2/src/Makefile.in-1341-visu_GtkGlExt.lo: OSOpenGL/visu_GtkGlExt.c
v-sim-3.7.2/src/Makefile.in:1342:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT visu_GtkGlExt.lo -MD -MP -MF $(DEPDIR)/visu_GtkGlExt.Tpo -c -o visu_GtkGlExt.lo `test -f 'OSOpenGL/visu_GtkGlExt.c' || echo '$(srcdir)/'`OSOpenGL/visu_GtkGlExt.c
v-sim-3.7.2/src/Makefile.in-1343-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/visu_GtkGlExt.Tpo $(DEPDIR)/visu_GtkGlExt.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1345-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1346:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o visu_GtkGlExt.lo `test -f 'OSOpenGL/visu_GtkGlExt.c' || echo '$(srcdir)/'`OSOpenGL/visu_GtkGlExt.c
v-sim-3.7.2/src/Makefile.in-1347-
v-sim-3.7.2/src/Makefile.in-1348-visu_openGL.lo: OSOpenGL/visu_openGL.c
v-sim-3.7.2/src/Makefile.in:1349:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT visu_openGL.lo -MD -MP -MF $(DEPDIR)/visu_openGL.Tpo -c -o visu_openGL.lo `test -f 'OSOpenGL/visu_openGL.c' || echo '$(srcdir)/'`OSOpenGL/visu_openGL.c
v-sim-3.7.2/src/Makefile.in-1350-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/visu_openGL.Tpo $(DEPDIR)/visu_openGL.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1352-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1353:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o visu_openGL.lo `test -f 'OSOpenGL/visu_openGL.c' || echo '$(srcdir)/'`OSOpenGL/visu_openGL.c
v-sim-3.7.2/src/Makefile.in-1354-
v-sim-3.7.2/src/Makefile.in-1355-toolShade.lo: coreTools/toolShade.c
v-sim-3.7.2/src/Makefile.in:1356:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolShade.lo -MD -MP -MF $(DEPDIR)/toolShade.Tpo -c -o toolShade.lo `test -f 'coreTools/toolShade.c' || echo '$(srcdir)/'`coreTools/toolShade.c
v-sim-3.7.2/src/Makefile.in-1357-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolShade.Tpo $(DEPDIR)/toolShade.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1359-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1360:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolShade.lo `test -f 'coreTools/toolShade.c' || echo '$(srcdir)/'`coreTools/toolShade.c
v-sim-3.7.2/src/Makefile.in-1361-
v-sim-3.7.2/src/Makefile.in-1362-toolFileFormat.lo: coreTools/toolFileFormat.c
v-sim-3.7.2/src/Makefile.in:1363:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolFileFormat.lo -MD -MP -MF $(DEPDIR)/toolFileFormat.Tpo -c -o toolFileFormat.lo `test -f 'coreTools/toolFileFormat.c' || echo '$(srcdir)/'`coreTools/toolFileFormat.c
v-sim-3.7.2/src/Makefile.in-1364-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolFileFormat.Tpo $(DEPDIR)/toolFileFormat.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1366-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1367:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolFileFormat.lo `test -f 'coreTools/toolFileFormat.c' || echo '$(srcdir)/'`coreTools/toolFileFormat.c
v-sim-3.7.2/src/Makefile.in-1368-
v-sim-3.7.2/src/Makefile.in-1369-toolMatrix.lo: coreTools/toolMatrix.c
v-sim-3.7.2/src/Makefile.in:1370:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolMatrix.lo -MD -MP -MF $(DEPDIR)/toolMatrix.Tpo -c -o toolMatrix.lo `test -f 'coreTools/toolMatrix.c' || echo '$(srcdir)/'`coreTools/toolMatrix.c
v-sim-3.7.2/src/Makefile.in-1371-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolMatrix.Tpo $(DEPDIR)/toolMatrix.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1373-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1374:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolMatrix.lo `test -f 'coreTools/toolMatrix.c' || echo '$(srcdir)/'`coreTools/toolMatrix.c
v-sim-3.7.2/src/Makefile.in-1375-
v-sim-3.7.2/src/Makefile.in-1376-toolColor.lo: coreTools/toolColor.c
v-sim-3.7.2/src/Makefile.in:1377:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolColor.lo -MD -MP -MF $(DEPDIR)/toolColor.Tpo -c -o toolColor.lo `test -f 'coreTools/toolColor.c' || echo '$(srcdir)/'`coreTools/toolColor.c
v-sim-3.7.2/src/Makefile.in-1378-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolColor.Tpo $(DEPDIR)/toolColor.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1380-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1381:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolColor.lo `test -f 'coreTools/toolColor.c' || echo '$(srcdir)/'`coreTools/toolColor.c
v-sim-3.7.2/src/Makefile.in-1382-
v-sim-3.7.2/src/Makefile.in-1383-toolConfigFile.lo: coreTools/toolConfigFile.c
v-sim-3.7.2/src/Makefile.in:1384:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolConfigFile.lo -MD -MP -MF $(DEPDIR)/toolConfigFile.Tpo -c -o toolConfigFile.lo `test -f 'coreTools/toolConfigFile.c' || echo '$(srcdir)/'`coreTools/toolConfigFile.c
v-sim-3.7.2/src/Makefile.in-1385-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolConfigFile.Tpo $(DEPDIR)/toolConfigFile.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1387-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1388:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolConfigFile.lo `test -f 'coreTools/toolConfigFile.c' || echo '$(srcdir)/'`coreTools/toolConfigFile.c
v-sim-3.7.2/src/Makefile.in-1389-
v-sim-3.7.2/src/Makefile.in-1390-toolOptions.lo: coreTools/toolOptions.c
v-sim-3.7.2/src/Makefile.in:1391:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolOptions.lo -MD -MP -MF $(DEPDIR)/toolOptions.Tpo -c -o toolOptions.lo `test -f 'coreTools/toolOptions.c' || echo '$(srcdir)/'`coreTools/toolOptions.c
v-sim-3.7.2/src/Makefile.in-1392-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolOptions.Tpo $(DEPDIR)/toolOptions.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1394-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1395:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolOptions.lo `test -f 'coreTools/toolOptions.c' || echo '$(srcdir)/'`coreTools/toolOptions.c
v-sim-3.7.2/src/Makefile.in-1396-
v-sim-3.7.2/src/Makefile.in-1397-toolFortran.lo: coreTools/toolFortran.c
v-sim-3.7.2/src/Makefile.in:1398:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolFortran.lo -MD -MP -MF $(DEPDIR)/toolFortran.Tpo -c -o toolFortran.lo `test -f 'coreTools/toolFortran.c' || echo '$(srcdir)/'`coreTools/toolFortran.c
v-sim-3.7.2/src/Makefile.in-1399-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolFortran.Tpo $(DEPDIR)/toolFortran.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1401-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1402:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolFortran.lo `test -f 'coreTools/toolFortran.c' || echo '$(srcdir)/'`coreTools/toolFortran.c
v-sim-3.7.2/src/Makefile.in-1403-
v-sim-3.7.2/src/Makefile.in-1404-toolPhysic.lo: coreTools/toolPhysic.c
v-sim-3.7.2/src/Makefile.in:1405:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT toolPhysic.lo -MD -MP -MF $(DEPDIR)/toolPhysic.Tpo -c -o toolPhysic.lo `test -f 'coreTools/toolPhysic.c' || echo '$(srcdir)/'`coreTools/toolPhysic.c
v-sim-3.7.2/src/Makefile.in-1406-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/toolPhysic.Tpo $(DEPDIR)/toolPhysic.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1408-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1409:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o toolPhysic.lo `test -f 'coreTools/toolPhysic.c' || echo '$(srcdir)/'`coreTools/toolPhysic.c
v-sim-3.7.2/src/Makefile.in-1410-
v-sim-3.7.2/src/Makefile.in-1411-atoms_yaml.lo: coreTools/atoms_yaml.c
v-sim-3.7.2/src/Makefile.in:1412:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atoms_yaml.lo -MD -MP -MF $(DEPDIR)/atoms_yaml.Tpo -c -o atoms_yaml.lo `test -f 'coreTools/atoms_yaml.c' || echo '$(srcdir)/'`coreTools/atoms_yaml.c
v-sim-3.7.2/src/Makefile.in-1413-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atoms_yaml.Tpo $(DEPDIR)/atoms_yaml.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1415-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1416:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atoms_yaml.lo `test -f 'coreTools/atoms_yaml.c' || echo '$(srcdir)/'`coreTools/atoms_yaml.c
v-sim-3.7.2/src/Makefile.in-1417-
v-sim-3.7.2/src/Makefile.in-1418-wire.lo: pairsModeling/wire.c
v-sim-3.7.2/src/Makefile.in:1419:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT wire.lo -MD -MP -MF $(DEPDIR)/wire.Tpo -c -o wire.lo `test -f 'pairsModeling/wire.c' || echo '$(srcdir)/'`pairsModeling/wire.c
v-sim-3.7.2/src/Makefile.in-1420-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/wire.Tpo $(DEPDIR)/wire.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1422-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1423:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o wire.lo `test -f 'pairsModeling/wire.c' || echo '$(srcdir)/'`pairsModeling/wire.c
v-sim-3.7.2/src/Makefile.in-1424-
v-sim-3.7.2/src/Makefile.in-1425-cylinder.lo: pairsModeling/cylinder.c
v-sim-3.7.2/src/Makefile.in:1426:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT cylinder.lo -MD -MP -MF $(DEPDIR)/cylinder.Tpo -c -o cylinder.lo `test -f 'pairsModeling/cylinder.c' || echo '$(srcdir)/'`pairsModeling/cylinder.c
v-sim-3.7.2/src/Makefile.in-1427-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/cylinder.Tpo $(DEPDIR)/cylinder.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1429-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1430:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o cylinder.lo `test -f 'pairsModeling/cylinder.c' || echo '$(srcdir)/'`pairsModeling/cylinder.c
v-sim-3.7.2/src/Makefile.in-1431-
v-sim-3.7.2/src/Makefile.in-1432-renderingAtomic.lo: renderingMethods/renderingAtomic.c
v-sim-3.7.2/src/Makefile.in:1433:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT renderingAtomic.lo -MD -MP -MF $(DEPDIR)/renderingAtomic.Tpo -c -o renderingAtomic.lo `test -f 'renderingMethods/renderingAtomic.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic.c
v-sim-3.7.2/src/Makefile.in-1434-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/renderingAtomic.Tpo $(DEPDIR)/renderingAtomic.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1436-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1437:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o renderingAtomic.lo `test -f 'renderingMethods/renderingAtomic.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic.c
v-sim-3.7.2/src/Makefile.in-1438-
v-sim-3.7.2/src/Makefile.in-1439-renderingAtomic_ascii.lo: renderingMethods/renderingAtomic_ascii.c
v-sim-3.7.2/src/Makefile.in:1440:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT renderingAtomic_ascii.lo -MD -MP -MF $(DEPDIR)/renderingAtomic_ascii.Tpo -c -o renderingAtomic_ascii.lo `test -f 'renderingMethods/renderingAtomic_ascii.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic_ascii.c
v-sim-3.7.2/src/Makefile.in-1441-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/renderingAtomic_ascii.Tpo $(DEPDIR)/renderingAtomic_ascii.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1443-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1444:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o renderingAtomic_ascii.lo `test -f 'renderingMethods/renderingAtomic_ascii.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic_ascii.c
v-sim-3.7.2/src/Makefile.in-1445-
v-sim-3.7.2/src/Makefile.in-1446-atomic_xyz.lo: renderingMethods/atomic_xyz.c
v-sim-3.7.2/src/Makefile.in:1447:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atomic_xyz.lo -MD -MP -MF $(DEPDIR)/atomic_xyz.Tpo -c -o atomic_xyz.lo `test -f 'renderingMethods/atomic_xyz.c' || echo '$(srcdir)/'`renderingMethods/atomic_xyz.c
v-sim-3.7.2/src/Makefile.in-1448-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atomic_xyz.Tpo $(DEPDIR)/atomic_xyz.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1450-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1451:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atomic_xyz.lo `test -f 'renderingMethods/atomic_xyz.c' || echo '$(srcdir)/'`renderingMethods/atomic_xyz.c
v-sim-3.7.2/src/Makefile.in-1452-
v-sim-3.7.2/src/Makefile.in-1453-atomic_yaml.lo: renderingMethods/atomic_yaml.c
v-sim-3.7.2/src/Makefile.in:1454:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atomic_yaml.lo -MD -MP -MF $(DEPDIR)/atomic_yaml.Tpo -c -o atomic_yaml.lo `test -f 'renderingMethods/atomic_yaml.c' || echo '$(srcdir)/'`renderingMethods/atomic_yaml.c
v-sim-3.7.2/src/Makefile.in-1455-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atomic_yaml.Tpo $(DEPDIR)/atomic_yaml.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1457-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1458:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atomic_yaml.lo `test -f 'renderingMethods/atomic_yaml.c' || echo '$(srcdir)/'`renderingMethods/atomic_yaml.c
v-sim-3.7.2/src/Makefile.in-1459-
v-sim-3.7.2/src/Makefile.in-1460-renderingAtomic_d3.lo: renderingMethods/renderingAtomic_d3.c
v-sim-3.7.2/src/Makefile.in:1461:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT renderingAtomic_d3.lo -MD -MP -MF $(DEPDIR)/renderingAtomic_d3.Tpo -c -o renderingAtomic_d3.lo `test -f 'renderingMethods/renderingAtomic_d3.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic_d3.c
v-sim-3.7.2/src/Makefile.in-1462-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/renderingAtomic_d3.Tpo $(DEPDIR)/renderingAtomic_d3.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1464-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1465:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o renderingAtomic_d3.lo `test -f 'renderingMethods/renderingAtomic_d3.c' || echo '$(srcdir)/'`renderingMethods/renderingAtomic_d3.c
v-sim-3.7.2/src/Makefile.in-1466-
v-sim-3.7.2/src/Makefile.in-1467-renderingSpin.lo: renderingMethods/renderingSpin.c
v-sim-3.7.2/src/Makefile.in:1468:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT renderingSpin.lo -MD -MP -MF $(DEPDIR)/renderingSpin.Tpo -c -o renderingSpin.lo `test -f 'renderingMethods/renderingSpin.c' || echo '$(srcdir)/'`renderingMethods/renderingSpin.c
v-sim-3.7.2/src/Makefile.in-1469-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/renderingSpin.Tpo $(DEPDIR)/renderingSpin.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1471-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1472:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o renderingSpin.lo `test -f 'renderingMethods/renderingSpin.c' || echo '$(srcdir)/'`renderingMethods/renderingSpin.c
v-sim-3.7.2/src/Makefile.in-1473-
v-sim-3.7.2/src/Makefile.in-1474-light.lo: openGLFunctions/light.c
v-sim-3.7.2/src/Makefile.in:1475:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT light.lo -MD -MP -MF $(DEPDIR)/light.Tpo -c -o light.lo `test -f 'openGLFunctions/light.c' || echo '$(srcdir)/'`openGLFunctions/light.c
v-sim-3.7.2/src/Makefile.in-1476-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/light.Tpo $(DEPDIR)/light.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1478-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1479:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o light.lo `test -f 'openGLFunctions/light.c' || echo '$(srcdir)/'`openGLFunctions/light.c
v-sim-3.7.2/src/Makefile.in-1480-
v-sim-3.7.2/src/Makefile.in-1481-renderingMode.lo: openGLFunctions/renderingMode.c
v-sim-3.7.2/src/Makefile.in:1482:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT renderingMode.lo -MD -MP -MF $(DEPDIR)/renderingMode.Tpo -c -o renderingMode.lo `test -f 'openGLFunctions/renderingMode.c' || echo '$(srcdir)/'`openGLFunctions/renderingMode.c
v-sim-3.7.2/src/Makefile.in-1483-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/renderingMode.Tpo $(DEPDIR)/renderingMode.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1485-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1486:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o renderingMode.lo `test -f 'openGLFunctions/renderingMode.c' || echo '$(srcdir)/'`openGLFunctions/renderingMode.c
v-sim-3.7.2/src/Makefile.in-1487-
v-sim-3.7.2/src/Makefile.in-1488-text.lo: openGLFunctions/text.c
v-sim-3.7.2/src/Makefile.in:1489:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT text.lo -MD -MP -MF $(DEPDIR)/text.Tpo -c -o text.lo `test -f 'openGLFunctions/text.c' || echo '$(srcdir)/'`openGLFunctions/text.c
v-sim-3.7.2/src/Makefile.in-1490-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/text.Tpo $(DEPDIR)/text.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1492-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1493:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o text.lo `test -f 'openGLFunctions/text.c' || echo '$(srcdir)/'`openGLFunctions/text.c
v-sim-3.7.2/src/Makefile.in-1494-
v-sim-3.7.2/src/Makefile.in-1495-view.lo: openGLFunctions/view.c
v-sim-3.7.2/src/Makefile.in:1496:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT view.lo -MD -MP -MF $(DEPDIR)/view.Tpo -c -o view.lo `test -f 'openGLFunctions/view.c' || echo '$(srcdir)/'`openGLFunctions/view.c
v-sim-3.7.2/src/Makefile.in-1497-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/view.Tpo $(DEPDIR)/view.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1499-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1500:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o view.lo `test -f 'openGLFunctions/view.c' || echo '$(srcdir)/'`openGLFunctions/view.c
v-sim-3.7.2/src/Makefile.in-1501-
v-sim-3.7.2/src/Makefile.in-1502-interactive.lo: openGLFunctions/interactive.c
v-sim-3.7.2/src/Makefile.in:1503:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT interactive.lo -MD -MP -MF $(DEPDIR)/interactive.Tpo -c -o interactive.lo `test -f 'openGLFunctions/interactive.c' || echo '$(srcdir)/'`openGLFunctions/interactive.c
v-sim-3.7.2/src/Makefile.in-1504-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/interactive.Tpo $(DEPDIR)/interactive.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1506-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1507:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o interactive.lo `test -f 'openGLFunctions/interactive.c' || echo '$(srcdir)/'`openGLFunctions/interactive.c
v-sim-3.7.2/src/Makefile.in-1508-
v-sim-3.7.2/src/Makefile.in-1509-objectList.lo: openGLFunctions/objectList.c
v-sim-3.7.2/src/Makefile.in:1510:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT objectList.lo -MD -MP -MF $(DEPDIR)/objectList.Tpo -c -o objectList.lo `test -f 'openGLFunctions/objectList.c' || echo '$(srcdir)/'`openGLFunctions/objectList.c
v-sim-3.7.2/src/Makefile.in-1511-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/objectList.Tpo $(DEPDIR)/objectList.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1513-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1514:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o objectList.lo `test -f 'openGLFunctions/objectList.c' || echo '$(srcdir)/'`openGLFunctions/objectList.c
v-sim-3.7.2/src/Makefile.in-1515-
v-sim-3.7.2/src/Makefile.in-1516-dataFile.lo: extraFunctions/dataFile.c
v-sim-3.7.2/src/Makefile.in:1517:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dataFile.lo -MD -MP -MF $(DEPDIR)/dataFile.Tpo -c -o dataFile.lo `test -f 'extraFunctions/dataFile.c' || echo '$(srcdir)/'`extraFunctions/dataFile.c
v-sim-3.7.2/src/Makefile.in-1518-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dataFile.Tpo $(DEPDIR)/dataFile.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1520-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1521:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dataFile.lo `test -f 'extraFunctions/dataFile.c' || echo '$(srcdir)/'`extraFunctions/dataFile.c
v-sim-3.7.2/src/Makefile.in-1522-
v-sim-3.7.2/src/Makefile.in-1523-plane.lo: extraFunctions/plane.c
v-sim-3.7.2/src/Makefile.in:1524:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT plane.lo -MD -MP -MF $(DEPDIR)/plane.Tpo -c -o plane.lo `test -f 'extraFunctions/plane.c' || echo '$(srcdir)/'`extraFunctions/plane.c
v-sim-3.7.2/src/Makefile.in-1525-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/plane.Tpo $(DEPDIR)/plane.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1527-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1528:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o plane.lo `test -f 'extraFunctions/plane.c' || echo '$(srcdir)/'`extraFunctions/plane.c
v-sim-3.7.2/src/Makefile.in-1529-
v-sim-3.7.2/src/Makefile.in-1530-pot2surf.lo: extraFunctions/pot2surf.c
v-sim-3.7.2/src/Makefile.in:1531:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT pot2surf.lo -MD -MP -MF $(DEPDIR)/pot2surf.Tpo -c -o pot2surf.lo `test -f 'extraFunctions/pot2surf.c' || echo '$(srcdir)/'`extraFunctions/pot2surf.c
v-sim-3.7.2/src/Makefile.in-1532-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/pot2surf.Tpo $(DEPDIR)/pot2surf.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1534-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1535:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o pot2surf.lo `test -f 'extraFunctions/pot2surf.c' || echo '$(srcdir)/'`extraFunctions/pot2surf.c
v-sim-3.7.2/src/Makefile.in-1536-
v-sim-3.7.2/src/Makefile.in-1537-surfaces_resources.lo: extraFunctions/surfaces_resources.c
v-sim-3.7.2/src/Makefile.in:1538:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfaces_resources.lo -MD -MP -MF $(DEPDIR)/surfaces_resources.Tpo -c -o surfaces_resources.lo `test -f 'extraFunctions/surfaces_resources.c' || echo '$(srcdir)/'`extraFunctions/surfaces_resources.c
v-sim-3.7.2/src/Makefile.in-1539-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfaces_resources.Tpo $(DEPDIR)/surfaces_resources.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1541-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1542:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfaces_resources.lo `test -f 'extraFunctions/surfaces_resources.c' || echo '$(srcdir)/'`extraFunctions/surfaces_resources.c
v-sim-3.7.2/src/Makefile.in-1543-
v-sim-3.7.2/src/Makefile.in-1544-surfaces_points.lo: extraFunctions/surfaces_points.c
v-sim-3.7.2/src/Makefile.in:1545:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfaces_points.lo -MD -MP -MF $(DEPDIR)/surfaces_points.Tpo -c -o surfaces_points.lo `test -f 'extraFunctions/surfaces_points.c' || echo '$(srcdir)/'`extraFunctions/surfaces_points.c
v-sim-3.7.2/src/Makefile.in-1546-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfaces_points.Tpo $(DEPDIR)/surfaces_points.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1548-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1549:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfaces_points.lo `test -f 'extraFunctions/surfaces_points.c' || echo '$(srcdir)/'`extraFunctions/surfaces_points.c
v-sim-3.7.2/src/Makefile.in-1550-
v-sim-3.7.2/src/Makefile.in-1551-surfaces.lo: extraFunctions/surfaces.c
v-sim-3.7.2/src/Makefile.in:1552:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfaces.lo -MD -MP -MF $(DEPDIR)/surfaces.Tpo -c -o surfaces.lo `test -f 'extraFunctions/surfaces.c' || echo '$(srcdir)/'`extraFunctions/surfaces.c
v-sim-3.7.2/src/Makefile.in-1553-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfaces.Tpo $(DEPDIR)/surfaces.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1555-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1556:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfaces.lo `test -f 'extraFunctions/surfaces.c' || echo '$(srcdir)/'`extraFunctions/surfaces.c
v-sim-3.7.2/src/Makefile.in-1557-
v-sim-3.7.2/src/Makefile.in-1558-dataNode.lo: extraFunctions/dataNode.c
v-sim-3.7.2/src/Makefile.in:1559:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT dataNode.lo -MD -MP -MF $(DEPDIR)/dataNode.Tpo -c -o dataNode.lo `test -f 'extraFunctions/dataNode.c' || echo '$(srcdir)/'`extraFunctions/dataNode.c
v-sim-3.7.2/src/Makefile.in-1560-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/dataNode.Tpo $(DEPDIR)/dataNode.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1562-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1563:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o dataNode.lo `test -f 'extraFunctions/dataNode.c' || echo '$(srcdir)/'`extraFunctions/dataNode.c
v-sim-3.7.2/src/Makefile.in-1564-
v-sim-3.7.2/src/Makefile.in-1565-extraNode.lo: extraFunctions/extraNode.c
v-sim-3.7.2/src/Makefile.in:1566:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT extraNode.lo -MD -MP -MF $(DEPDIR)/extraNode.Tpo -c -o extraNode.lo `test -f 'extraFunctions/extraNode.c' || echo '$(srcdir)/'`extraFunctions/extraNode.c
v-sim-3.7.2/src/Makefile.in-1567-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/extraNode.Tpo $(DEPDIR)/extraNode.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1569-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1570:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o extraNode.lo `test -f 'extraFunctions/extraNode.c' || echo '$(srcdir)/'`extraFunctions/extraNode.c
v-sim-3.7.2/src/Makefile.in-1571-
v-sim-3.7.2/src/Makefile.in-1572-scalarFields.lo: extraFunctions/scalarFields.c
v-sim-3.7.2/src/Makefile.in:1573:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT scalarFields.lo -MD -MP -MF $(DEPDIR)/scalarFields.Tpo -c -o scalarFields.lo `test -f 'extraFunctions/scalarFields.c' || echo '$(srcdir)/'`extraFunctions/scalarFields.c
v-sim-3.7.2/src/Makefile.in-1574-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/scalarFields.Tpo $(DEPDIR)/scalarFields.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1576-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1577:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o scalarFields.lo `test -f 'extraFunctions/scalarFields.c' || echo '$(srcdir)/'`extraFunctions/scalarFields.c
v-sim-3.7.2/src/Makefile.in-1578-
v-sim-3.7.2/src/Makefile.in-1579-map.lo: extraFunctions/map.c
v-sim-3.7.2/src/Makefile.in:1580:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT map.lo -MD -MP -MF $(DEPDIR)/map.Tpo -c -o map.lo `test -f 'extraFunctions/map.c' || echo '$(srcdir)/'`extraFunctions/map.c
v-sim-3.7.2/src/Makefile.in-1581-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/map.Tpo $(DEPDIR)/map.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1583-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1584:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o map.lo `test -f 'extraFunctions/map.c' || echo '$(srcdir)/'`extraFunctions/map.c
v-sim-3.7.2/src/Makefile.in-1585-
v-sim-3.7.2/src/Makefile.in-1586-isoline.lo: extraFunctions/isoline.c
v-sim-3.7.2/src/Makefile.in:1587:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT isoline.lo -MD -MP -MF $(DEPDIR)/isoline.Tpo -c -o isoline.lo `test -f 'extraFunctions/isoline.c' || echo '$(srcdir)/'`extraFunctions/isoline.c
v-sim-3.7.2/src/Makefile.in-1588-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/isoline.Tpo $(DEPDIR)/isoline.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1590-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1591:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o isoline.lo `test -f 'extraFunctions/isoline.c' || echo '$(srcdir)/'`extraFunctions/isoline.c
v-sim-3.7.2/src/Makefile.in-1592-
v-sim-3.7.2/src/Makefile.in-1593-geometry.lo: extraFunctions/geometry.c
v-sim-3.7.2/src/Makefile.in:1594:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT geometry.lo -MD -MP -MF $(DEPDIR)/geometry.Tpo -c -o geometry.lo `test -f 'extraFunctions/geometry.c' || echo '$(srcdir)/'`extraFunctions/geometry.c
v-sim-3.7.2/src/Makefile.in-1595-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/geometry.Tpo $(DEPDIR)/geometry.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1597-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1598:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o geometry.lo `test -f 'extraFunctions/geometry.c' || echo '$(srcdir)/'`extraFunctions/geometry.c
v-sim-3.7.2/src/Makefile.in-1599-
v-sim-3.7.2/src/Makefile.in-1600-vibration.lo: extraFunctions/vibration.c
v-sim-3.7.2/src/Makefile.in:1601:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vibration.lo -MD -MP -MF $(DEPDIR)/vibration.Tpo -c -o vibration.lo `test -f 'extraFunctions/vibration.c' || echo '$(srcdir)/'`extraFunctions/vibration.c
v-sim-3.7.2/src/Makefile.in-1602-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/vibration.Tpo $(DEPDIR)/vibration.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1604-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1605:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vibration.lo `test -f 'extraFunctions/vibration.c' || echo '$(srcdir)/'`extraFunctions/vibration.c
v-sim-3.7.2/src/Makefile.in-1606-
v-sim-3.7.2/src/Makefile.in-1607-gtk_dumpDialogWidget.lo: extraGtkFunctions/gtk_dumpDialogWidget.c
v-sim-3.7.2/src/Makefile.in:1608:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_dumpDialogWidget.lo -MD -MP -MF $(DEPDIR)/gtk_dumpDialogWidget.Tpo -c -o gtk_dumpDialogWidget.lo `test -f 'extraGtkFunctions/gtk_dumpDialogWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_dumpDialogWidget.c
v-sim-3.7.2/src/Makefile.in-1609-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_dumpDialogWidget.Tpo $(DEPDIR)/gtk_dumpDialogWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1611-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1612:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_dumpDialogWidget.lo `test -f 'extraGtkFunctions/gtk_dumpDialogWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_dumpDialogWidget.c
v-sim-3.7.2/src/Makefile.in-1613-
v-sim-3.7.2/src/Makefile.in-1614-panelElements.lo: panelModules/panelElements.c
v-sim-3.7.2/src/Makefile.in:1615:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelElements.lo -MD -MP -MF $(DEPDIR)/panelElements.Tpo -c -o panelElements.lo `test -f 'panelModules/panelElements.c' || echo '$(srcdir)/'`panelModules/panelElements.c
v-sim-3.7.2/src/Makefile.in-1616-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelElements.Tpo $(DEPDIR)/panelElements.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1618-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1619:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelElements.lo `test -f 'panelModules/panelElements.c' || echo '$(srcdir)/'`panelModules/panelElements.c
v-sim-3.7.2/src/Makefile.in-1620-
v-sim-3.7.2/src/Makefile.in-1621-gtkAtomic.lo: panelModules/gtkAtomic.c
v-sim-3.7.2/src/Makefile.in:1622:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtkAtomic.lo -MD -MP -MF $(DEPDIR)/gtkAtomic.Tpo -c -o gtkAtomic.lo `test -f 'panelModules/gtkAtomic.c' || echo '$(srcdir)/'`panelModules/gtkAtomic.c
v-sim-3.7.2/src/Makefile.in-1623-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtkAtomic.Tpo $(DEPDIR)/gtkAtomic.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1625-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1626:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtkAtomic.lo `test -f 'panelModules/gtkAtomic.c' || echo '$(srcdir)/'`panelModules/gtkAtomic.c
v-sim-3.7.2/src/Makefile.in-1627-
v-sim-3.7.2/src/Makefile.in-1628-gtkSpin.lo: panelModules/gtkSpin.c
v-sim-3.7.2/src/Makefile.in:1629:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtkSpin.lo -MD -MP -MF $(DEPDIR)/gtkSpin.Tpo -c -o gtkSpin.lo `test -f 'panelModules/gtkSpin.c' || echo '$(srcdir)/'`panelModules/gtkSpin.c
v-sim-3.7.2/src/Makefile.in-1630-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtkSpin.Tpo $(DEPDIR)/gtkSpin.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1632-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1633:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtkSpin.lo `test -f 'panelModules/gtkSpin.c' || echo '$(srcdir)/'`panelModules/gtkSpin.c
v-sim-3.7.2/src/Makefile.in-1634-
v-sim-3.7.2/src/Makefile.in-1635-panelConfig.lo: panelModules/panelConfig.c
v-sim-3.7.2/src/Makefile.in:1636:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelConfig.lo -MD -MP -MF $(DEPDIR)/panelConfig.Tpo -c -o panelConfig.lo `test -f 'panelModules/panelConfig.c' || echo '$(srcdir)/'`panelModules/panelConfig.c
v-sim-3.7.2/src/Makefile.in-1637-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelConfig.Tpo $(DEPDIR)/panelConfig.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1639-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1640:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelConfig.lo `test -f 'panelModules/panelConfig.c' || echo '$(srcdir)/'`panelModules/panelConfig.c
v-sim-3.7.2/src/Makefile.in-1641-
v-sim-3.7.2/src/Makefile.in-1642-panelOpenGL.lo: panelModules/panelOpenGL.c
v-sim-3.7.2/src/Makefile.in:1643:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelOpenGL.lo -MD -MP -MF $(DEPDIR)/panelOpenGL.Tpo -c -o panelOpenGL.lo `test -f 'panelModules/panelOpenGL.c' || echo '$(srcdir)/'`panelModules/panelOpenGL.c
v-sim-3.7.2/src/Makefile.in-1644-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelOpenGL.Tpo $(DEPDIR)/panelOpenGL.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1646-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1647:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelOpenGL.lo `test -f 'panelModules/panelOpenGL.c' || echo '$(srcdir)/'`panelModules/panelOpenGL.c
v-sim-3.7.2/src/Makefile.in-1648-
v-sim-3.7.2/src/Makefile.in-1649-panelGeometry.lo: panelModules/panelGeometry.c
v-sim-3.7.2/src/Makefile.in:1650:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelGeometry.lo -MD -MP -MF $(DEPDIR)/panelGeometry.Tpo -c -o panelGeometry.lo `test -f 'panelModules/panelGeometry.c' || echo '$(srcdir)/'`panelModules/panelGeometry.c
v-sim-3.7.2/src/Makefile.in-1651-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelGeometry.Tpo $(DEPDIR)/panelGeometry.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1653-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1654:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelGeometry.lo `test -f 'panelModules/panelGeometry.c' || echo '$(srcdir)/'`panelModules/panelGeometry.c
v-sim-3.7.2/src/Makefile.in-1655-
v-sim-3.7.2/src/Makefile.in-1656-panelAxes.lo: panelModules/panelAxes.c
v-sim-3.7.2/src/Makefile.in:1657:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelAxes.lo -MD -MP -MF $(DEPDIR)/panelAxes.Tpo -c -o panelAxes.lo `test -f 'panelModules/panelAxes.c' || echo '$(srcdir)/'`panelModules/panelAxes.c
v-sim-3.7.2/src/Makefile.in-1658-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelAxes.Tpo $(DEPDIR)/panelAxes.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1660-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1661:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelAxes.lo `test -f 'panelModules/panelAxes.c' || echo '$(srcdir)/'`panelModules/panelAxes.c
v-sim-3.7.2/src/Makefile.in-1662-
v-sim-3.7.2/src/Makefile.in-1663-panelFogBgColor.lo: panelModules/panelFogBgColor.c
v-sim-3.7.2/src/Makefile.in:1664:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelFogBgColor.lo -MD -MP -MF $(DEPDIR)/panelFogBgColor.Tpo -c -o panelFogBgColor.lo `test -f 'panelModules/panelFogBgColor.c' || echo '$(srcdir)/'`panelModules/panelFogBgColor.c
v-sim-3.7.2/src/Makefile.in-1665-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelFogBgColor.Tpo $(DEPDIR)/panelFogBgColor.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1667-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1668:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelFogBgColor.lo `test -f 'panelModules/panelFogBgColor.c' || echo '$(srcdir)/'`panelModules/panelFogBgColor.c
v-sim-3.7.2/src/Makefile.in-1669-
v-sim-3.7.2/src/Makefile.in-1670-panelBrowser.lo: panelModules/panelBrowser.c
v-sim-3.7.2/src/Makefile.in:1671:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelBrowser.lo -MD -MP -MF $(DEPDIR)/panelBrowser.Tpo -c -o panelBrowser.lo `test -f 'panelModules/panelBrowser.c' || echo '$(srcdir)/'`panelModules/panelBrowser.c
v-sim-3.7.2/src/Makefile.in-1672-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelBrowser.Tpo $(DEPDIR)/panelBrowser.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1674-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1675:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelBrowser.lo `test -f 'panelModules/panelBrowser.c' || echo '$(srcdir)/'`panelModules/panelBrowser.c
v-sim-3.7.2/src/Makefile.in-1676-
v-sim-3.7.2/src/Makefile.in-1677-panelDataFile.lo: panelModules/panelDataFile.c
v-sim-3.7.2/src/Makefile.in:1678:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelDataFile.lo -MD -MP -MF $(DEPDIR)/panelDataFile.Tpo -c -o panelDataFile.lo `test -f 'panelModules/panelDataFile.c' || echo '$(srcdir)/'`panelModules/panelDataFile.c
v-sim-3.7.2/src/Makefile.in-1679-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelDataFile.Tpo $(DEPDIR)/panelDataFile.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1681-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1682:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelDataFile.lo `test -f 'panelModules/panelDataFile.c' || echo '$(srcdir)/'`panelModules/panelDataFile.c
v-sim-3.7.2/src/Makefile.in-1683-
v-sim-3.7.2/src/Makefile.in-1684-panelPlanes.lo: panelModules/panelPlanes.c
v-sim-3.7.2/src/Makefile.in:1685:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelPlanes.lo -MD -MP -MF $(DEPDIR)/panelPlanes.Tpo -c -o panelPlanes.lo `test -f 'panelModules/panelPlanes.c' || echo '$(srcdir)/'`panelModules/panelPlanes.c
v-sim-3.7.2/src/Makefile.in-1686-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelPlanes.Tpo $(DEPDIR)/panelPlanes.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1688-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1689:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelPlanes.lo `test -f 'panelModules/panelPlanes.c' || echo '$(srcdir)/'`panelModules/panelPlanes.c
v-sim-3.7.2/src/Makefile.in-1690-
v-sim-3.7.2/src/Makefile.in-1691-panelSurfaces.lo: panelModules/panelSurfaces.c
v-sim-3.7.2/src/Makefile.in:1692:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelSurfaces.lo -MD -MP -MF $(DEPDIR)/panelSurfaces.Tpo -c -o panelSurfaces.lo `test -f 'panelModules/panelSurfaces.c' || echo '$(srcdir)/'`panelModules/panelSurfaces.c
v-sim-3.7.2/src/Makefile.in-1693-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelSurfaces.Tpo $(DEPDIR)/panelSurfaces.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1695-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1696:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelSurfaces.lo `test -f 'panelModules/panelSurfaces.c' || echo '$(srcdir)/'`panelModules/panelSurfaces.c
v-sim-3.7.2/src/Makefile.in-1697-
v-sim-3.7.2/src/Makefile.in-1698-panelSurfacesTools.lo: panelModules/panelSurfacesTools.c
v-sim-3.7.2/src/Makefile.in:1699:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelSurfacesTools.lo -MD -MP -MF $(DEPDIR)/panelSurfacesTools.Tpo -c -o panelSurfacesTools.lo `test -f 'panelModules/panelSurfacesTools.c' || echo '$(srcdir)/'`panelModules/panelSurfacesTools.c
v-sim-3.7.2/src/Makefile.in-1700-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelSurfacesTools.Tpo $(DEPDIR)/panelSurfacesTools.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1702-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1703:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelSurfacesTools.lo `test -f 'panelModules/panelSurfacesTools.c' || echo '$(srcdir)/'`panelModules/panelSurfacesTools.c
v-sim-3.7.2/src/Makefile.in-1704-
v-sim-3.7.2/src/Makefile.in-1705-panelMethod.lo: panelModules/panelMethod.c
v-sim-3.7.2/src/Makefile.in:1706:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelMethod.lo -MD -MP -MF $(DEPDIR)/panelMethod.Tpo -c -o panelMethod.lo `test -f 'panelModules/panelMethod.c' || echo '$(srcdir)/'`panelModules/panelMethod.c
v-sim-3.7.2/src/Makefile.in-1707-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelMethod.Tpo $(DEPDIR)/panelMethod.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1709-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1710:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelMethod.lo `test -f 'panelModules/panelMethod.c' || echo '$(srcdir)/'`panelModules/panelMethod.c
v-sim-3.7.2/src/Makefile.in-1711-
v-sim-3.7.2/src/Makefile.in-1712-panelMap.lo: panelModules/panelMap.c
v-sim-3.7.2/src/Makefile.in:1713:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelMap.lo -MD -MP -MF $(DEPDIR)/panelMap.Tpo -c -o panelMap.lo `test -f 'panelModules/panelMap.c' || echo '$(srcdir)/'`panelModules/panelMap.c
v-sim-3.7.2/src/Makefile.in-1714-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelMap.Tpo $(DEPDIR)/panelMap.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1716-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1717:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelMap.lo `test -f 'panelModules/panelMap.c' || echo '$(srcdir)/'`panelModules/panelMap.c
v-sim-3.7.2/src/Makefile.in-1718-
v-sim-3.7.2/src/Makefile.in-1719-panelVibration.lo: panelModules/panelVibration.c
v-sim-3.7.2/src/Makefile.in:1720:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT panelVibration.lo -MD -MP -MF $(DEPDIR)/panelVibration.Tpo -c -o panelVibration.lo `test -f 'panelModules/panelVibration.c' || echo '$(srcdir)/'`panelModules/panelVibration.c
v-sim-3.7.2/src/Makefile.in-1721-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/panelVibration.Tpo $(DEPDIR)/panelVibration.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1723-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1724:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o panelVibration.lo `test -f 'panelModules/panelVibration.c' || echo '$(srcdir)/'`panelModules/panelVibration.c
v-sim-3.7.2/src/Makefile.in-1725-
v-sim-3.7.2/src/Makefile.in-1726-gtk_colorComboBoxWidget.lo: extraGtkFunctions/gtk_colorComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in:1727:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_colorComboBoxWidget.lo -MD -MP -MF $(DEPDIR)/gtk_colorComboBoxWidget.Tpo -c -o gtk_colorComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_colorComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_colorComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1728-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_colorComboBoxWidget.Tpo $(DEPDIR)/gtk_colorComboBoxWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1730-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1731:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_colorComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_colorComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_colorComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1732-
v-sim-3.7.2/src/Makefile.in-1733-gtk_toolPanelWidget.lo: extraGtkFunctions/gtk_toolPanelWidget.c
v-sim-3.7.2/src/Makefile.in:1734:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_toolPanelWidget.lo -MD -MP -MF $(DEPDIR)/gtk_toolPanelWidget.Tpo -c -o gtk_toolPanelWidget.lo `test -f 'extraGtkFunctions/gtk_toolPanelWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_toolPanelWidget.c
v-sim-3.7.2/src/Makefile.in-1735-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_toolPanelWidget.Tpo $(DEPDIR)/gtk_toolPanelWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1737-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1738:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_toolPanelWidget.lo `test -f 'extraGtkFunctions/gtk_toolPanelWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_toolPanelWidget.c
v-sim-3.7.2/src/Makefile.in-1739-
v-sim-3.7.2/src/Makefile.in-1740-gtk_orientationChooser.lo: extraGtkFunctions/gtk_orientationChooser.c
v-sim-3.7.2/src/Makefile.in:1741:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_orientationChooser.lo -MD -MP -MF $(DEPDIR)/gtk_orientationChooser.Tpo -c -o gtk_orientationChooser.lo `test -f 'extraGtkFunctions/gtk_orientationChooser.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_orientationChooser.c
v-sim-3.7.2/src/Makefile.in-1742-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_orientationChooser.Tpo $(DEPDIR)/gtk_orientationChooser.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1744-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1745:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_orientationChooser.lo `test -f 'extraGtkFunctions/gtk_orientationChooser.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_orientationChooser.c
v-sim-3.7.2/src/Makefile.in-1746-
v-sim-3.7.2/src/Makefile.in-1747-gtk_numericalEntryWidget.lo: extraGtkFunctions/gtk_numericalEntryWidget.c
v-sim-3.7.2/src/Makefile.in:1748:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_numericalEntryWidget.lo -MD -MP -MF $(DEPDIR)/gtk_numericalEntryWidget.Tpo -c -o gtk_numericalEntryWidget.lo `test -f 'extraGtkFunctions/gtk_numericalEntryWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_numericalEntryWidget.c
v-sim-3.7.2/src/Makefile.in-1749-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_numericalEntryWidget.Tpo $(DEPDIR)/gtk_numericalEntryWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1751-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1752:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_numericalEntryWidget.lo `test -f 'extraGtkFunctions/gtk_numericalEntryWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_numericalEntryWidget.c
v-sim-3.7.2/src/Makefile.in-1753-
v-sim-3.7.2/src/Makefile.in-1754-gtk_shadeComboBoxWidget.lo: extraGtkFunctions/gtk_shadeComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in:1755:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_shadeComboBoxWidget.lo -MD -MP -MF $(DEPDIR)/gtk_shadeComboBoxWidget.Tpo -c -o gtk_shadeComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_shadeComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_shadeComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1756-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_shadeComboBoxWidget.Tpo $(DEPDIR)/gtk_shadeComboBoxWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1758-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1759:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_shadeComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_shadeComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_shadeComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1760-
v-sim-3.7.2/src/Makefile.in-1761-gtk_elementComboBox.lo: extraGtkFunctions/gtk_elementComboBox.c
v-sim-3.7.2/src/Makefile.in:1762:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_elementComboBox.lo -MD -MP -MF $(DEPDIR)/gtk_elementComboBox.Tpo -c -o gtk_elementComboBox.lo `test -f 'extraGtkFunctions/gtk_elementComboBox.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_elementComboBox.c
v-sim-3.7.2/src/Makefile.in-1763-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_elementComboBox.Tpo $(DEPDIR)/gtk_elementComboBox.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1765-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1766:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_elementComboBox.lo `test -f 'extraGtkFunctions/gtk_elementComboBox.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_elementComboBox.c
v-sim-3.7.2/src/Makefile.in-1767-
v-sim-3.7.2/src/Makefile.in-1768-gtk_stippleComboBoxWidget.lo: extraGtkFunctions/gtk_stippleComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in:1769:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_stippleComboBoxWidget.lo -MD -MP -MF $(DEPDIR)/gtk_stippleComboBoxWidget.Tpo -c -o gtk_stippleComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_stippleComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_stippleComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1770-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_stippleComboBoxWidget.Tpo $(DEPDIR)/gtk_stippleComboBoxWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1772-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1773:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_stippleComboBoxWidget.lo `test -f 'extraGtkFunctions/gtk_stippleComboBoxWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_stippleComboBoxWidget.c
v-sim-3.7.2/src/Makefile.in-1774-
v-sim-3.7.2/src/Makefile.in-1775-gtk_lineObjectWidget.lo: extraGtkFunctions/gtk_lineObjectWidget.c
v-sim-3.7.2/src/Makefile.in:1776:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_lineObjectWidget.lo -MD -MP -MF $(DEPDIR)/gtk_lineObjectWidget.Tpo -c -o gtk_lineObjectWidget.lo `test -f 'extraGtkFunctions/gtk_lineObjectWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_lineObjectWidget.c
v-sim-3.7.2/src/Makefile.in-1777-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_lineObjectWidget.Tpo $(DEPDIR)/gtk_lineObjectWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1779-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1780:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_lineObjectWidget.lo `test -f 'extraGtkFunctions/gtk_lineObjectWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_lineObjectWidget.c
v-sim-3.7.2/src/Makefile.in-1781-
v-sim-3.7.2/src/Makefile.in-1782-gtk_valueIOWidget.lo: extraGtkFunctions/gtk_valueIOWidget.c
v-sim-3.7.2/src/Makefile.in:1783:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_valueIOWidget.lo -MD -MP -MF $(DEPDIR)/gtk_valueIOWidget.Tpo -c -o gtk_valueIOWidget.lo `test -f 'extraGtkFunctions/gtk_valueIOWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_valueIOWidget.c
v-sim-3.7.2/src/Makefile.in-1784-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_valueIOWidget.Tpo $(DEPDIR)/gtk_valueIOWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1786-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1787:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_valueIOWidget.lo `test -f 'extraGtkFunctions/gtk_valueIOWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_valueIOWidget.c
v-sim-3.7.2/src/Makefile.in-1788-
v-sim-3.7.2/src/Makefile.in-1789-gtk_curveWidget.lo: extraGtkFunctions/gtk_curveWidget.c
v-sim-3.7.2/src/Makefile.in:1790:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_curveWidget.lo -MD -MP -MF $(DEPDIR)/gtk_curveWidget.Tpo -c -o gtk_curveWidget.lo `test -f 'extraGtkFunctions/gtk_curveWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_curveWidget.c
v-sim-3.7.2/src/Makefile.in-1791-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_curveWidget.Tpo $(DEPDIR)/gtk_curveWidget.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1793-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1794:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_curveWidget.lo `test -f 'extraGtkFunctions/gtk_curveWidget.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_curveWidget.c
v-sim-3.7.2/src/Makefile.in-1795-
v-sim-3.7.2/src/Makefile.in-1796-gtk_fieldChooser.lo: extraGtkFunctions/gtk_fieldChooser.c
v-sim-3.7.2/src/Makefile.in:1797:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_fieldChooser.lo -MD -MP -MF $(DEPDIR)/gtk_fieldChooser.Tpo -c -o gtk_fieldChooser.lo `test -f 'extraGtkFunctions/gtk_fieldChooser.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_fieldChooser.c
v-sim-3.7.2/src/Makefile.in-1798-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_fieldChooser.Tpo $(DEPDIR)/gtk_fieldChooser.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1800-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1801:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_fieldChooser.lo `test -f 'extraGtkFunctions/gtk_fieldChooser.c' || echo '$(srcdir)/'`extraGtkFunctions/gtk_fieldChooser.c
v-sim-3.7.2/src/Makefile.in-1802-
v-sim-3.7.2/src/Makefile.in-1803-gtk_cylinder.lo: pairsModeling/gtk_cylinder.c
v-sim-3.7.2/src/Makefile.in:1804:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_cylinder.lo -MD -MP -MF $(DEPDIR)/gtk_cylinder.Tpo -c -o gtk_cylinder.lo `test -f 'pairsModeling/gtk_cylinder.c' || echo '$(srcdir)/'`pairsModeling/gtk_cylinder.c
v-sim-3.7.2/src/Makefile.in-1805-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_cylinder.Tpo $(DEPDIR)/gtk_cylinder.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1807-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1808:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_cylinder.lo `test -f 'pairsModeling/gtk_cylinder.c' || echo '$(srcdir)/'`pairsModeling/gtk_cylinder.c
v-sim-3.7.2/src/Makefile.in-1809-
v-sim-3.7.2/src/Makefile.in-1810-gtk_wire.lo: pairsModeling/gtk_wire.c
v-sim-3.7.2/src/Makefile.in:1811:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gtk_wire.lo -MD -MP -MF $(DEPDIR)/gtk_wire.Tpo -c -o gtk_wire.lo `test -f 'pairsModeling/gtk_wire.c' || echo '$(srcdir)/'`pairsModeling/gtk_wire.c
v-sim-3.7.2/src/Makefile.in-1812-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/gtk_wire.Tpo $(DEPDIR)/gtk_wire.Plo
##############################################
v-sim-3.7.2/src/Makefile.in-1814-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1815:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gtk_wire.lo `test -f 'pairsModeling/gtk_wire.c' || echo '$(srcdir)/'`pairsModeling/gtk_wire.c
v-sim-3.7.2/src/Makefile.in-1816-
v-sim-3.7.2/src/Makefile.in-1817-surfaces_tests.o: extraFunctions/surfaces_tests.c
v-sim-3.7.2/src/Makefile.in:1818:@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfaces_tests.o -MD -MP -MF $(DEPDIR)/surfaces_tests.Tpo -c -o surfaces_tests.o `test -f 'extraFunctions/surfaces_tests.c' || echo '$(srcdir)/'`extraFunctions/surfaces_tests.c
v-sim-3.7.2/src/Makefile.in-1819-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfaces_tests.Tpo $(DEPDIR)/surfaces_tests.Po
##############################################
v-sim-3.7.2/src/Makefile.in-1821-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1822:@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfaces_tests.o `test -f 'extraFunctions/surfaces_tests.c' || echo '$(srcdir)/'`extraFunctions/surfaces_tests.c
v-sim-3.7.2/src/Makefile.in-1823-
v-sim-3.7.2/src/Makefile.in-1824-surfaces_tests.obj: extraFunctions/surfaces_tests.c
v-sim-3.7.2/src/Makefile.in:1825:@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT surfaces_tests.obj -MD -MP -MF $(DEPDIR)/surfaces_tests.Tpo -c -o surfaces_tests.obj `if test -f 'extraFunctions/surfaces_tests.c'; then $(CYGPATH_W) 'extraFunctions/surfaces_tests.c'; else $(CYGPATH_W) '$(srcdir)/extraFunctions/surfaces_tests.c'; fi`
v-sim-3.7.2/src/Makefile.in-1826-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/surfaces_tests.Tpo $(DEPDIR)/surfaces_tests.Po
##############################################
v-sim-3.7.2/src/Makefile.in-1828-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/src/Makefile.in:1829:@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o surfaces_tests.obj `if test -f 'extraFunctions/surfaces_tests.c'; then $(CYGPATH_W) 'extraFunctions/surfaces_tests.c'; else $(CYGPATH_W) '$(srcdir)/extraFunctions/surfaces_tests.c'; fi`
v-sim-3.7.2/src/Makefile.in-1830-
##############################################
v-sim-3.7.2/src/Makefile.in-1851-	@list='$(v_simgir_DATA)'; test -n "$(v_simgirdir)" || list=; \
v-sim-3.7.2/src/Makefile.in:1852:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/src/Makefile.in-1853-	dir='$(DESTDIR)$(v_simgirdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/src/Makefile.in-1869-	@list='$(v_simtypelibs_DATA)'; test -n "$(v_simtypelibsdir)" || list=; \
v-sim-3.7.2/src/Makefile.in:1870:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/src/Makefile.in-1871-	dir='$(DESTDIR)$(v_simtypelibsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/src/Makefile.in-1917-GTAGS:
v-sim-3.7.2/src/Makefile.in:1918:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/src/Makefile.in-1919-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/src/Makefile.in-1935-	    if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
v-sim-3.7.2/src/Makefile.in:1936:	      all=`expr $$all + 1`; \
v-sim-3.7.2/src/Makefile.in-1937-	      case " $(XFAIL_TESTS) " in \
v-sim-3.7.2/src/Makefile.in-1938-	      *[\ \	]$$tst[\ \	]*) \
v-sim-3.7.2/src/Makefile.in:1939:		xpass=`expr $$xpass + 1`; \
v-sim-3.7.2/src/Makefile.in:1940:		failed=`expr $$failed + 1`; \
v-sim-3.7.2/src/Makefile.in-1941-		col=$$red; res=XPASS; \
##############################################
v-sim-3.7.2/src/Makefile.in-1947-	    elif test $$? -ne 77; then \
v-sim-3.7.2/src/Makefile.in:1948:	      all=`expr $$all + 1`; \
v-sim-3.7.2/src/Makefile.in-1949-	      case " $(XFAIL_TESTS) " in \
v-sim-3.7.2/src/Makefile.in-1950-	      *[\ \	]$$tst[\ \	]*) \
v-sim-3.7.2/src/Makefile.in:1951:		xfail=`expr $$xfail + 1`; \
v-sim-3.7.2/src/Makefile.in-1952-		col=$$lgn; res=XFAIL; \
##############################################
v-sim-3.7.2/src/Makefile.in-1954-	      *) \
v-sim-3.7.2/src/Makefile.in:1955:		failed=`expr $$failed + 1`; \
v-sim-3.7.2/src/Makefile.in-1956-		col=$$red; res=FAIL; \
##############################################
v-sim-3.7.2/src/Makefile.in-1959-	    else \
v-sim-3.7.2/src/Makefile.in:1960:	      skip=`expr $$skip + 1`; \
v-sim-3.7.2/src/Makefile.in-1961-	      col=$$blu; res=SKIP; \
##############################################
v-sim-3.7.2/src/Makefile.in-1994-	    fi; \
v-sim-3.7.2/src/Makefile.in:1995:	    test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
v-sim-3.7.2/src/Makefile.in-1996-	      dashes="$$skipped"; \
##############################################
v-sim-3.7.2/src/Makefile.in-2000-	    report="Please report to $(PACKAGE_BUGREPORT)"; \
v-sim-3.7.2/src/Makefile.in:2001:	    test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
v-sim-3.7.2/src/Makefile.in-2002-	      dashes="$$report"; \
v-sim-3.7.2/src/Makefile.in-2003-	  fi; \
v-sim-3.7.2/src/Makefile.in:2004:	  dashes=`echo "$$dashes" | sed s/./=/g`; \
v-sim-3.7.2/src/Makefile.in-2005-	  if test "$$failed" -eq 0; then \
##############################################
v-sim-3.7.2/src/Makefile.in-2018-distdir: $(DISTFILES)
v-sim-3.7.2/src/Makefile.in:2019:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/src/Makefile.in:2020:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/src/Makefile.in-2021-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/src/Makefile.in-2032-	  if test -d $$d/$$file; then \
v-sim-3.7.2/src/Makefile.in:2033:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/src/Makefile.in-2034-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/Makefile.in-80-  while test -n "$$dir1"; do \
v-sim-3.7.2/lib/Makefile.in:81:    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
v-sim-3.7.2/lib/Makefile.in-82-    if test "$$first" != "."; then \
v-sim-3.7.2/lib/Makefile.in-83-      if test "$$first" = ".."; then \
v-sim-3.7.2/lib/Makefile.in:84:        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
v-sim-3.7.2/lib/Makefile.in:85:        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
v-sim-3.7.2/lib/Makefile.in-86-      else \
v-sim-3.7.2/lib/Makefile.in:87:        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
v-sim-3.7.2/lib/Makefile.in-88-        if test "$$first2" = "$$first"; then \
v-sim-3.7.2/lib/Makefile.in:89:          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/lib/Makefile.in-90-        else \
##############################################
v-sim-3.7.2/lib/Makefile.in-95-    fi; \
v-sim-3.7.2/lib/Makefile.in:96:    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/lib/Makefile.in-97-  done; \
##############################################
v-sim-3.7.2/lib/Makefile.in-394-	dot_seen=no; \
v-sim-3.7.2/lib/Makefile.in:395:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/lib/Makefile.in-396-	list='$(SUBDIRS)'; for subdir in $$list; do \
##############################################
v-sim-3.7.2/lib/Makefile.in-429-	rev="$$rev ."; \
v-sim-3.7.2/lib/Makefile.in:430:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/lib/Makefile.in-431-	for subdir in $$rev; do \
##############################################
v-sim-3.7.2/lib/Makefile.in-507-GTAGS:
v-sim-3.7.2/lib/Makefile.in:508:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/Makefile.in-509-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/Makefile.in-515-distdir: $(DISTFILES)
v-sim-3.7.2/lib/Makefile.in:516:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/Makefile.in:517:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/Makefile.in-518-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/Makefile.in-529-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/Makefile.in:530:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/Makefile.in-531-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-80-  while test -n "$$dir1"; do \
v-sim-3.7.2/lib/plug-ins/Makefile.in:81:    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-82-    if test "$$first" != "."; then \
v-sim-3.7.2/lib/plug-ins/Makefile.in-83-      if test "$$first" = ".."; then \
v-sim-3.7.2/lib/plug-ins/Makefile.in:84:        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
v-sim-3.7.2/lib/plug-ins/Makefile.in:85:        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-86-      else \
v-sim-3.7.2/lib/plug-ins/Makefile.in:87:        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-88-        if test "$$first2" = "$$first"; then \
v-sim-3.7.2/lib/plug-ins/Makefile.in:89:          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-90-        else \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-95-    fi; \
v-sim-3.7.2/lib/plug-ins/Makefile.in:96:    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-97-  done; \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-402-	dot_seen=no; \
v-sim-3.7.2/lib/plug-ins/Makefile.in:403:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-404-	list='$(SUBDIRS)'; for subdir in $$list; do \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-437-	rev="$$rev ."; \
v-sim-3.7.2/lib/plug-ins/Makefile.in:438:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-439-	for subdir in $$rev; do \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-515-GTAGS:
v-sim-3.7.2/lib/plug-ins/Makefile.in:516:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/Makefile.in-517-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-523-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/Makefile.in:524:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in:525:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-526-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/Makefile.in-537-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/Makefile.in:538:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/Makefile.in-539-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-449-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:450:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-451-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-475-.c.obj:
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:476:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-477-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-479-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:480:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-481-
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-509-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:510:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-511-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-527-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:528:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-529-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-575-GTAGS:
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:576:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-577-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-583-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:584:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:585:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-586-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-597-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in:598:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/nanoquanta-netcdf/Makefile.in-599-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-436-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:437:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-438-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-460-.cpp.obj:
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:461:@am__fastdepCXX_TRUE@	$(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-462-@am__fastdepCXX_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-464-@AMDEP_TRUE@@am__fastdepCXX_FALSE@	DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:465:@am__fastdepCXX_FALSE@	$(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-466-
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-494-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:495:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-496-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-542-GTAGS:
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:543:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-544-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-550-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:551:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:552:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-553-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-564-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in:565:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/OpenBabel-wrapper/Makefile.in-566-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-433-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:434:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-435-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-458-.c.obj:
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:459:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-460-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-462-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:463:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-464-
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-492-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:493:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-494-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-510-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:511:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-512-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-558-GTAGS:
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:559:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-560-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-566-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:567:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:568:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-569-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-580-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in:581:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/xsf/Makefile.in-582-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-433-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:434:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-435-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-457-.c.obj:
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:458:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-459-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-461-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:462:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-463-
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-491-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:492:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-493-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-509-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:510:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-511-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-557-GTAGS:
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:558:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-559-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-565-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:566:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:567:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-568-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-579-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in:580:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/cube/Makefile.in-581-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-442-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:443:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-444-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-467-.c.obj:
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:468:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-469-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-471-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:472:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-473-
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-501-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:502:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-503-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-519-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:520:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-521-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-567-GTAGS:
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:568:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-569-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-575-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:576:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:577:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-578-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-589-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in:590:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/abinit/Makefile.in-591-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-58-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:59:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-60-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:61:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-62-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-63-  esac;
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:64:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-65-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-66-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:67:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-68-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-460-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:461:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-462-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-484-.c.obj:
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:485:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-486-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-488-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:489:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-490-
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-527-	@list='$(v_simoverrides_PYTHON)'; test -n "$(v_simoverridesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:528:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-529-	test -n "$$files" || exit 0; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-530-	dir='$(DESTDIR)$(v_simoverridesdir)'; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:531:	filesc=`echo "$$files" | sed 's|$$|c|'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:532:	fileso=`echo "$$files" | sed 's|$$|o|'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-533-	st=0; \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-553-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:554:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-555-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-571-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:572:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-573-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-619-GTAGS:
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:620:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-621-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-627-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:628:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:629:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-630-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-641-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in:642:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/python-gi/Makefile.in-643-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-435-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:436:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-437-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-459-.c.obj:
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:460:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-461-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-463-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:464:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-465-
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-493-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:494:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-495-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-511-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:512:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-513-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-559-GTAGS:
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:560:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-561-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-567-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:568:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:569:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-570-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-581-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in:582:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/archives/Makefile.in-583-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-62-  esac;
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-436-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:437:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-438-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-462-.c.obj:
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:463:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-464-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-466-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:467:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-468-
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-496-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:497:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-498-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-514-	@list='$(v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:515:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-516-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-562-GTAGS:
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:563:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-564-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-570-distdir: $(DISTFILES)
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:571:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:572:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-573-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-584-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in:585:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/plug-ins/bigdft/Makefile.in-586-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/lib/python/Makefile.in-57-CONFIG_CLEAN_VPATH_FILES =
v-sim-3.7.2/lib/python/Makefile.in:58:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/lib/python/Makefile.in-59-am__vpath_adj = case $$p in \
v-sim-3.7.2/lib/python/Makefile.in:60:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/lib/python/Makefile.in-61-    *) f=$$p;; \
v-sim-3.7.2/lib/python/Makefile.in-62-  esac;
v-sim-3.7.2/lib/python/Makefile.in:63:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/lib/python/Makefile.in-64-am__install_max = 40
v-sim-3.7.2/lib/python/Makefile.in-65-am__nobase_strip_setup = \
v-sim-3.7.2/lib/python/Makefile.in:66:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/lib/python/Makefile.in-67-am__nobase_strip = \
##############################################
v-sim-3.7.2/lib/python/Makefile.in-423-	@list='$(v_simplugins_LTLIBRARIES)'; for p in $$list; do \
v-sim-3.7.2/lib/python/Makefile.in:424:	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
v-sim-3.7.2/lib/python/Makefile.in-425-	  test "$$dir" != "$$p" || dir=.; \
##############################################
v-sim-3.7.2/lib/python/Makefile.in-448-.c.obj:
v-sim-3.7.2/lib/python/Makefile.in:449:@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/python/Makefile.in-450-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
##############################################
v-sim-3.7.2/lib/python/Makefile.in-452-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/python/Makefile.in:453:@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
v-sim-3.7.2/lib/python/Makefile.in-454-
##############################################
v-sim-3.7.2/lib/python/Makefile.in-462-v_sim_la-visu_py.lo: visu_py.c
v-sim-3.7.2/lib/python/Makefile.in:463:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(v_sim_la_CFLAGS) $(CFLAGS) -MT v_sim_la-visu_py.lo -MD -MP -MF $(DEPDIR)/v_sim_la-visu_py.Tpo -c -o v_sim_la-visu_py.lo `test -f 'visu_py.c' || echo '$(srcdir)/'`visu_py.c
v-sim-3.7.2/lib/python/Makefile.in-464-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/v_sim_la-visu_py.Tpo $(DEPDIR)/v_sim_la-visu_py.Plo
##############################################
v-sim-3.7.2/lib/python/Makefile.in-466-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/python/Makefile.in:467:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(v_sim_la_CFLAGS) $(CFLAGS) -c -o v_sim_la-visu_py.lo `test -f 'visu_py.c' || echo '$(srcdir)/'`visu_py.c
v-sim-3.7.2/lib/python/Makefile.in-468-
v-sim-3.7.2/lib/python/Makefile.in-469-v_sim_la-plane_py.lo: plane_py.c
v-sim-3.7.2/lib/python/Makefile.in:470:@am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(v_sim_la_CFLAGS) $(CFLAGS) -MT v_sim_la-plane_py.lo -MD -MP -MF $(DEPDIR)/v_sim_la-plane_py.Tpo -c -o v_sim_la-plane_py.lo `test -f 'plane_py.c' || echo '$(srcdir)/'`plane_py.c
v-sim-3.7.2/lib/python/Makefile.in-471-@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/v_sim_la-plane_py.Tpo $(DEPDIR)/v_sim_la-plane_py.Plo
##############################################
v-sim-3.7.2/lib/python/Makefile.in-473-@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
v-sim-3.7.2/lib/python/Makefile.in:474:@am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(v_sim_la_CFLAGS) $(CFLAGS) -c -o v_sim_la-plane_py.lo `test -f 'plane_py.c' || echo '$(srcdir)/'`plane_py.c
v-sim-3.7.2/lib/python/Makefile.in-475-
##############################################
v-sim-3.7.2/lib/python/Makefile.in-496-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/lib/python/Makefile.in:497:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/lib/python/Makefile.in-498-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/lib/python/Makefile.in-544-GTAGS:
v-sim-3.7.2/lib/python/Makefile.in:545:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/lib/python/Makefile.in-546-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/lib/python/Makefile.in-552-distdir: $(DISTFILES)
v-sim-3.7.2/lib/python/Makefile.in:553:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/python/Makefile.in:554:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/lib/python/Makefile.in-555-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/lib/python/Makefile.in-566-	  if test -d $$d/$$file; then \
v-sim-3.7.2/lib/python/Makefile.in:567:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/lib/python/Makefile.in-568-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/etc/Makefile.in-60-DIST_SOURCES =
v-sim-3.7.2/etc/Makefile.in:61:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/etc/Makefile.in-62-am__vpath_adj = case $$p in \
v-sim-3.7.2/etc/Makefile.in:63:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/etc/Makefile.in-64-    *) f=$$p;; \
v-sim-3.7.2/etc/Makefile.in-65-  esac;
v-sim-3.7.2/etc/Makefile.in:66:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/etc/Makefile.in-67-am__install_max = 40
v-sim-3.7.2/etc/Makefile.in-68-am__nobase_strip_setup = \
v-sim-3.7.2/etc/Makefile.in:69:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/etc/Makefile.in-70-am__nobase_strip = \
##############################################
v-sim-3.7.2/etc/Makefile.in-405-	@list='$(v_simexe_DATA)'; test -n "$(v_simexedir)" || list=; \
v-sim-3.7.2/etc/Makefile.in:406:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/etc/Makefile.in-407-	dir='$(DESTDIR)$(v_simexedir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/etc/Makefile.in-423-	@list='$(v_simresources_DATA)'; test -n "$(v_simresourcesdir)" || list=; \
v-sim-3.7.2/etc/Makefile.in:424:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/etc/Makefile.in-425-	dir='$(DESTDIR)$(v_simresourcesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/etc/Makefile.in-433-distdir: $(DISTFILES)
v-sim-3.7.2/etc/Makefile.in:434:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/etc/Makefile.in:435:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/etc/Makefile.in-436-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/etc/Makefile.in-447-	  if test -d $$d/$$file; then \
v-sim-3.7.2/etc/Makefile.in:448:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/etc/Makefile.in-449-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/po/Makefile.in.in-58-
v-sim-3.7.2/po/Makefile.in.in:59:USER_LINGUAS=$(shell if test -n "$(LINGUAS)"; then LLINGUAS="$(LINGUAS)"; ALINGUAS="$(ALL_LINGUAS)"; for lang in $$LLINGUAS; do if test -n "`grep \^$$lang$$ $(srcdir)/LINGUAS 2>/dev/null`" -o -n "`echo $$ALINGUAS|tr ' ' '\n'|grep \^$$lang$$`"; then printf "$$lang "; fi; done; fi)
v-sim-3.7.2/po/Makefile.in.in-60-
##############################################
v-sim-3.7.2/po/Makefile.in.in-88-.po.gmo:
v-sim-3.7.2/po/Makefile.in.in:89:	$(INTLTOOL_V_MSGFMT)file=`echo $* | sed 's,.*/,,'`.gmo \
v-sim-3.7.2/po/Makefile.in.in-90-	  && rm -f $$file && $(GMSGFMT) -o $$file $<
##############################################
v-sim-3.7.2/po/Makefile.in.in-189-	  echo "$$lang:"; \
v-sim-3.7.2/po/Makefile.in.in:190:	  result="`$(MSGMERGE) -o $$tmpdir/$$lang.new.po $$lang`"; \
v-sim-3.7.2/po/Makefile.in.in-191-	  if $$result; then \
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-62-DIST_SOURCES =
v-sim-3.7.2/pixmaps/Makefile.in:63:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/pixmaps/Makefile.in-64-am__vpath_adj = case $$p in \
v-sim-3.7.2/pixmaps/Makefile.in:65:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/pixmaps/Makefile.in-66-    *) f=$$p;; \
v-sim-3.7.2/pixmaps/Makefile.in-67-  esac;
v-sim-3.7.2/pixmaps/Makefile.in:68:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/pixmaps/Makefile.in-69-am__install_max = 40
v-sim-3.7.2/pixmaps/Makefile.in-70-am__nobase_strip_setup = \
v-sim-3.7.2/pixmaps/Makefile.in:71:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/pixmaps/Makefile.in-72-am__nobase_strip = \
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-457-	@list='$(dist_icon16_DATA)'; test -n "$(icon16dir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:458:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-459-	dir='$(DESTDIR)$(icon16dir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-475-	@list='$(dist_icon22_DATA)'; test -n "$(icon22dir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:476:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-477-	dir='$(DESTDIR)$(icon22dir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-493-	@list='$(dist_icon24_DATA)'; test -n "$(icon24dir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:494:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-495-	dir='$(DESTDIR)$(icon24dir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-511-	@list='$(dist_icon32_DATA)'; test -n "$(icon32dir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:512:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-513-	dir='$(DESTDIR)$(icon32dir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-529-	@list='$(dist_icon48_DATA)'; test -n "$(icon48dir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:530:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-531-	dir='$(DESTDIR)$(icon48dir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-547-	@list='$(dist_svgicon_DATA)'; test -n "$(svgicondir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:548:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-549-	dir='$(DESTDIR)$(svgicondir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-565-	@list='$(dist_v_simicons_DATA)'; test -n "$(v_simiconsdir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:566:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-567-	dir='$(DESTDIR)$(v_simiconsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-583-	@list='$(dist_v_simpixmaps_DATA)'; test -n "$(v_simpixmapsdir)" || list=; \
v-sim-3.7.2/pixmaps/Makefile.in:584:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/pixmaps/Makefile.in-585-	dir='$(DESTDIR)$(v_simpixmapsdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-593-distdir: $(DISTFILES)
v-sim-3.7.2/pixmaps/Makefile.in:594:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/pixmaps/Makefile.in:595:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/pixmaps/Makefile.in-596-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/pixmaps/Makefile.in-607-	  if test -d $$d/$$file; then \
v-sim-3.7.2/pixmaps/Makefile.in:608:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/pixmaps/Makefile.in-609-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/examples/Makefile.in-58-DIST_SOURCES =
v-sim-3.7.2/examples/Makefile.in:59:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/examples/Makefile.in-60-am__vpath_adj = case $$p in \
v-sim-3.7.2/examples/Makefile.in:61:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/examples/Makefile.in-62-    *) f=$$p;; \
v-sim-3.7.2/examples/Makefile.in-63-  esac;
v-sim-3.7.2/examples/Makefile.in:64:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/examples/Makefile.in-65-am__install_max = 40
v-sim-3.7.2/examples/Makefile.in-66-am__nobase_strip_setup = \
v-sim-3.7.2/examples/Makefile.in:67:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/examples/Makefile.in-68-am__nobase_strip = \
##############################################
v-sim-3.7.2/examples/Makefile.in-402-	@list='$(v_simexamples_DATA)'; test -n "$(v_simexamplesdir)" || list=; \
v-sim-3.7.2/examples/Makefile.in:403:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/examples/Makefile.in-404-	dir='$(DESTDIR)$(v_simexamplesdir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/examples/Makefile.in-412-distdir: $(DISTFILES)
v-sim-3.7.2/examples/Makefile.in:413:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/examples/Makefile.in:414:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/examples/Makefile.in-415-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/examples/Makefile.in-426-	  if test -d $$d/$$file; then \
v-sim-3.7.2/examples/Makefile.in:427:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/examples/Makefile.in-428-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-65-	ps-recursive uninstall-recursive
v-sim-3.7.2/Documentation/Makefile.in:66:am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
v-sim-3.7.2/Documentation/Makefile.in-67-am__vpath_adj = case $$p in \
v-sim-3.7.2/Documentation/Makefile.in:68:    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
v-sim-3.7.2/Documentation/Makefile.in-69-    *) f=$$p;; \
v-sim-3.7.2/Documentation/Makefile.in-70-  esac;
v-sim-3.7.2/Documentation/Makefile.in:71:am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
v-sim-3.7.2/Documentation/Makefile.in-72-am__install_max = 40
v-sim-3.7.2/Documentation/Makefile.in-73-am__nobase_strip_setup = \
v-sim-3.7.2/Documentation/Makefile.in:74:  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
v-sim-3.7.2/Documentation/Makefile.in-75-am__nobase_strip = \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-113-  while test -n "$$dir1"; do \
v-sim-3.7.2/Documentation/Makefile.in:114:    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
v-sim-3.7.2/Documentation/Makefile.in-115-    if test "$$first" != "."; then \
v-sim-3.7.2/Documentation/Makefile.in-116-      if test "$$first" = ".."; then \
v-sim-3.7.2/Documentation/Makefile.in:117:        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
v-sim-3.7.2/Documentation/Makefile.in:118:        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
v-sim-3.7.2/Documentation/Makefile.in-119-      else \
v-sim-3.7.2/Documentation/Makefile.in:120:        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
v-sim-3.7.2/Documentation/Makefile.in-121-        if test "$$first2" = "$$first"; then \
v-sim-3.7.2/Documentation/Makefile.in:122:          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/Documentation/Makefile.in-123-        else \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-128-    fi; \
v-sim-3.7.2/Documentation/Makefile.in:129:    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
v-sim-3.7.2/Documentation/Makefile.in-130-  done; \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-469-	@list='$(v_simlegal_DATA)'; test -n "$(v_simlegaldir)" || list=; \
v-sim-3.7.2/Documentation/Makefile.in:470:	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
v-sim-3.7.2/Documentation/Makefile.in-471-	dir='$(DESTDIR)$(v_simlegaldir)'; $(am__uninstall_files_from_dir)
##############################################
v-sim-3.7.2/Documentation/Makefile.in-487-	dot_seen=no; \
v-sim-3.7.2/Documentation/Makefile.in:488:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/Documentation/Makefile.in-489-	list='$(SUBDIRS)'; for subdir in $$list; do \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-522-	rev="$$rev ."; \
v-sim-3.7.2/Documentation/Makefile.in:523:	target=`echo $@ | sed s/-recursive//`; \
v-sim-3.7.2/Documentation/Makefile.in-524-	for subdir in $$rev; do \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-600-GTAGS:
v-sim-3.7.2/Documentation/Makefile.in:601:	here=`$(am__cd) $(top_builddir) && pwd` \
v-sim-3.7.2/Documentation/Makefile.in-602-	  && $(am__cd) $(top_srcdir) \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-621-	else :; fi
v-sim-3.7.2/Documentation/Makefile.in:622:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Documentation/Makefile.in:623:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Documentation/Makefile.in-624-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/Documentation/Makefile.in-635-	  if test -d $$d/$$file; then \
v-sim-3.7.2/Documentation/Makefile.in:636:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/Documentation/Makefile.in-637-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-506-	    if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
v-sim-3.7.2/Documentation/reference/Makefile.in:507:	      all=`expr $$all + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-508-	      case " $(XFAIL_TESTS) " in \
v-sim-3.7.2/Documentation/reference/Makefile.in-509-	      *[\ \	]$$tst[\ \	]*) \
v-sim-3.7.2/Documentation/reference/Makefile.in:510:		xpass=`expr $$xpass + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in:511:		failed=`expr $$failed + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-512-		col=$$red; res=XPASS; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-518-	    elif test $$? -ne 77; then \
v-sim-3.7.2/Documentation/reference/Makefile.in:519:	      all=`expr $$all + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-520-	      case " $(XFAIL_TESTS) " in \
v-sim-3.7.2/Documentation/reference/Makefile.in-521-	      *[\ \	]$$tst[\ \	]*) \
v-sim-3.7.2/Documentation/reference/Makefile.in:522:		xfail=`expr $$xfail + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-523-		col=$$lgn; res=XFAIL; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-525-	      *) \
v-sim-3.7.2/Documentation/reference/Makefile.in:526:		failed=`expr $$failed + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-527-		col=$$red; res=FAIL; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-530-	    else \
v-sim-3.7.2/Documentation/reference/Makefile.in:531:	      skip=`expr $$skip + 1`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-532-	      col=$$blu; res=SKIP; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-565-	    fi; \
v-sim-3.7.2/Documentation/reference/Makefile.in:566:	    test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
v-sim-3.7.2/Documentation/reference/Makefile.in-567-	      dashes="$$skipped"; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-571-	    report="Please report to $(PACKAGE_BUGREPORT)"; \
v-sim-3.7.2/Documentation/reference/Makefile.in:572:	    test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
v-sim-3.7.2/Documentation/reference/Makefile.in-573-	      dashes="$$report"; \
v-sim-3.7.2/Documentation/reference/Makefile.in-574-	  fi; \
v-sim-3.7.2/Documentation/reference/Makefile.in:575:	  dashes=`echo "$$dashes" | sed s/./=/g`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-576-	  if test "$$failed" -eq 0; then \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-589-distdir: $(DISTFILES)
v-sim-3.7.2/Documentation/reference/Makefile.in:590:	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Documentation/reference/Makefile.in:591:	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-592-	list='$(DISTFILES)'; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-603-	  if test -d $$d/$$file; then \
v-sim-3.7.2/Documentation/reference/Makefile.in:604:	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-605-	    if test -d "$(distdir)/$$file"; then \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-754-	    echo '  DOC   Preparing build'; \
v-sim-3.7.2/Documentation/reference/Makefile.in:755:	    files=`echo $(SETUP_FILES) $(expand_content_files) $(DOC_MODULE).types`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-756-	    if test "x$$files" != "x" ; then \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-853-	  for img in $(HTML_IMAGES); do \
v-sim-3.7.2/Documentation/reference/Makefile.in:854:	    part=`dirname $$img`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-855-	    echo $$mkpdf_options | grep >/dev/null "\-\-imgdir=$$part "; \
##############################################
v-sim-3.7.2/Documentation/reference/Makefile.in-880-install-data-local:
v-sim-3.7.2/Documentation/reference/Makefile.in:881:	@installfiles=`echo $(builddir)/html/*`; \
v-sim-3.7.2/Documentation/reference/Makefile.in-882-	if test "$$installfiles" = '$(builddir)/html/*'; \
##############################################
v-sim-3.7.2/Documentation/reference/gtk-doc.make-79-	    echo '  DOC   Preparing build'; \
v-sim-3.7.2/Documentation/reference/gtk-doc.make:80:	    files=`echo $(SETUP_FILES) $(expand_content_files) $(DOC_MODULE).types`; \
v-sim-3.7.2/Documentation/reference/gtk-doc.make-81-	    if test "x$$files" != "x" ; then \
##############################################
v-sim-3.7.2/Documentation/reference/gtk-doc.make-179-	  for img in $(HTML_IMAGES); do \
v-sim-3.7.2/Documentation/reference/gtk-doc.make:180:	    part=`dirname $$img`; \
v-sim-3.7.2/Documentation/reference/gtk-doc.make-181-	    echo $$mkpdf_options | grep >/dev/null "\-\-imgdir=$$part "; \
##############################################
v-sim-3.7.2/Documentation/reference/gtk-doc.make-206-install-data-local:
v-sim-3.7.2/Documentation/reference/gtk-doc.make:207:	@installfiles=`echo $(builddir)/html/*`; \
v-sim-3.7.2/Documentation/reference/gtk-doc.make-208-	if test "$$installfiles" = '$(builddir)/html/*'; \
##############################################
v-sim-3.7.2/debian/patches/openbabel3-build.patch-15-   test $ac_status = 0; }; then
v-sim-3.7.2/debian/patches/openbabel3-build.patch:16:-  pkg_cv_OPENBABEL_CFLAGS=`$PKG_CONFIG --cflags "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/debian/patches/openbabel3-build.patch:17:+  pkg_cv_OPENBABEL_CFLAGS=`$PKG_CONFIG --cflags "openbabel-3 >= 3.0.0" 2>/dev/null`
v-sim-3.7.2/debian/patches/openbabel3-build.patch-18- 		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/debian/patches/openbabel3-build.patch-31-   test $ac_status = 0; }; then
v-sim-3.7.2/debian/patches/openbabel3-build.patch:32:-  pkg_cv_OPENBABEL_LIBS=`$PKG_CONFIG --libs "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/debian/patches/openbabel3-build.patch:33:+  pkg_cv_OPENBABEL_LIBS=`$PKG_CONFIG --libs "openbabel-3 >= 3.0.0" 2>/dev/null`
v-sim-3.7.2/debian/patches/openbabel3-build.patch-34- 		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/debian/patches/openbabel3-build.patch-40-         if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/debian/patches/openbabel3-build.patch:41:-	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/debian/patches/openbabel3-build.patch:42:+	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "openbabel-3 >= 3.0.0" 2>&1`
v-sim-3.7.2/debian/patches/openbabel3-build.patch-43-         else
v-sim-3.7.2/debian/patches/openbabel3-build.patch:44:-	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/debian/patches/openbabel3-build.patch:45:+	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "openbabel-3 >= 3.0.0" 2>&1`
v-sim-3.7.2/debian/patches/openbabel3-build.patch-46-         fi
##############################################
v-sim-3.7.2/debian/patches/python3.patch-10-   AM_CHECK_PYTHON_HEADERS(,[AC_MSG_ERROR(could not find Python headers)])
v-sim-3.7.2/debian/patches/python3.patch:11:-  py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/debian/patches/python3.patch:12:+  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/debian/patches/python3.patch-13-   PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/debian/patches/python3.patch-46- dnl deduce PYTHON_INCLUDES
v-sim-3.7.2/debian/patches/python3.patch:47:-py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/debian/patches/python3.patch:48:-py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/debian/patches/python3.patch:49:+py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/debian/patches/python3.patch:50:+py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/debian/patches/python3.patch-51- if test -x "$PYTHON-config"; then
v-sim-3.7.2/debian/patches/python3.patch:52: PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/debian/patches/python3.patch-53- else
##############################################
v-sim-3.7.2/debian/patches/python3.patch-59- $as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/debian/patches/python3.patch:60:-py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/debian/patches/python3.patch:61:-py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/debian/patches/python3.patch:62:+py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/debian/patches/python3.patch:63:+py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/debian/patches/python3.patch-64- if test -x "$PYTHON-config"; then
v-sim-3.7.2/debian/patches/python3.patch:65: PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/debian/patches/python3.patch-66- else
##############################################
v-sim-3.7.2/debian/patches/python3.patch-70- 
v-sim-3.7.2/debian/patches/python3.patch:71:-  py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/debian/patches/python3.patch:72:+  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/debian/patches/python3.patch-73-   PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/debian/patches/python3.patch-79- $as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/debian/patches/python3.patch:80:-py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/debian/patches/python3.patch:81:-py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/debian/patches/python3.patch:82:+py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/debian/patches/python3.patch:83:+py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/debian/patches/python3.patch-84- if test -x "$PYTHON-config"; then
v-sim-3.7.2/debian/patches/python3.patch:85: PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/debian/patches/python3.patch-86- else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure.ac-275-  AM_CHECK_PYTHON_HEADERS(,[AC_MSG_ERROR(could not find Python headers)])
v-sim-3.7.2/.pc/python3.patch/configure.ac:276:  py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/.pc/python3.patch/configure.ac-277-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure.ac-455-  AC_MSG_CHECKING(for pygobject overrides directory)
v-sim-3.7.2/.pc/python3.patch/configure.ac:456:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/.pc/python3.patch/configure.ac-457-  # fallback if the previous failed
##############################################
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-5-[AC_REQUIRE([AM_PATH_PYTHON])
v-sim-3.7.2/.pc/python3.patch/m4/python.m4:6:py_mod_var=`echo $1['_']$2 | sed 'y%./+-%__p_%'`
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-7-AC_MSG_CHECKING(for ifelse([$2],[],,[$2 in ])python module $1)
##############################################
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-26-])
v-sim-3.7.2/.pc/python3.patch/m4/python.m4:27:py_val=`eval "echo \`echo '$py_cv_mod_'$py_mod_var\`"`
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-28-if test "x$py_val" != xno; then
##############################################
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-45-dnl deduce PYTHON_INCLUDES
v-sim-3.7.2/.pc/python3.patch/m4/python.m4:46:py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/.pc/python3.patch/m4/python.m4:47:py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-48-if test -x "$PYTHON-config"; then
v-sim-3.7.2/.pc/python3.patch/m4/python.m4:49:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/m4/python.m4-50-else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-47-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/.pc/python3.patch/configure:48:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/python3.patch/configure-49-  as_echo='print -r --'
v-sim-3.7.2/.pc/python3.patch/configure-50-  as_echo_n='print -rn --'
v-sim-3.7.2/.pc/python3.patch/configure:51:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/python3.patch/configure-52-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-54-else
v-sim-3.7.2/.pc/python3.patch/configure:55:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/.pc/python3.patch/configure-56-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-64-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/.pc/python3.patch/configure:65:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/.pc/python3.patch/configure-66-      esac;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-175-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
v-sim-3.7.2/.pc/python3.patch/configure:176:  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
v-sim-3.7.2/.pc/python3.patch/configure-177-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-182-    PATH=/empty FPATH=/empty; export PATH FPATH
v-sim-3.7.2/.pc/python3.patch/configure:183:    test \"X\`printf %s \$ECHO\`\" = \"X\$ECHO\" \\
v-sim-3.7.2/.pc/python3.patch/configure:184:      || test \"X\`print -r -- \$ECHO\`\" = \"X\$ECHO\" ) || exit 1
v-sim-3.7.2/.pc/python3.patch/configure-185-test \$(( 1 + 1 )) = 2 || exit 1"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-307-      case $as_dir in #(
v-sim-3.7.2/.pc/python3.patch/configure:308:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/.pc/python3.patch/configure-309-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-372-  {
v-sim-3.7.2/.pc/python3.patch/configure:373:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/.pc/python3.patch/configure-374-  }
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-379-# ----------------------------------------
v-sim-3.7.2/.pc/python3.patch/configure:380:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/.pc/python3.patch/configure-381-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-442-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
v-sim-3.7.2/.pc/python3.patch/configure:443:  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
v-sim-3.7.2/.pc/python3.patch/configure-444-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-533-	esac;
v-sim-3.7.2/.pc/python3.patch/configure:534:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/.pc/python3.patch/configure-535-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1076-  case $ac_option in
v-sim-3.7.2/.pc/python3.patch/configure:1077:  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-1078-  *=)   ac_optarg= ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1120-  -disable-* | --disable-*)
v-sim-3.7.2/.pc/python3.patch/configure:1121:    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-1122-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1125-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/python3.patch/configure:1126:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/python3.patch/configure-1127-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1146-  -enable-* | --enable-*)
v-sim-3.7.2/.pc/python3.patch/configure:1147:    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-1148-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1151-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/python3.patch/configure:1152:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/python3.patch/configure-1153-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1350-  -with-* | --with-*)
v-sim-3.7.2/.pc/python3.patch/configure:1351:    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-1352-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1355-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/python3.patch/configure:1356:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/python3.patch/configure-1357-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1366-  -without-* | --without-*)
v-sim-3.7.2/.pc/python3.patch/configure:1367:    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-1368-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1371-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/python3.patch/configure:1372:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/python3.patch/configure-1373-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1404-  *=*)
v-sim-3.7.2/.pc/python3.patch/configure:1405:    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
v-sim-3.7.2/.pc/python3.patch/configure-1406-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1425-if test -n "$ac_prev"; then
v-sim-3.7.2/.pc/python3.patch/configure:1426:  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
v-sim-3.7.2/.pc/python3.patch/configure-1427-  as_fn_error $? "missing argument to $ac_option"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1447-    */ )
v-sim-3.7.2/.pc/python3.patch/configure:1448:      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-1449-      eval $ac_var=\$ac_val;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1484-ac_ls_di=`ls -di .` &&
v-sim-3.7.2/.pc/python3.patch/configure:1485:ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
v-sim-3.7.2/.pc/python3.patch/configure-1486-  as_fn_error $? "working directory cannot be determined"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1540-case $srcdir in
v-sim-3.7.2/.pc/python3.patch/configure:1541:*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
v-sim-3.7.2/.pc/python3.patch/configure-1542-esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1583-By default, \`make install' will install all the files in
v-sim-3.7.2/.pc/python3.patch/configure:1584:\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
v-sim-3.7.2/.pc/python3.patch/configure:1585:an installation prefix other than \`$ac_default_prefix' using \`--prefix',
v-sim-3.7.2/.pc/python3.patch/configure-1586-for instance \`--prefix=\$HOME'.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-1796-*)
v-sim-3.7.2/.pc/python3.patch/configure:1797:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/.pc/python3.patch/configure-1798-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/.pc/python3.patch/configure:1799:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/.pc/python3.patch/configure-1800-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2573-    *\'*)
v-sim-3.7.2/.pc/python3.patch/configure:2574:      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/python3.patch/configure-2575-    esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2656-      case $ac_val in
v-sim-3.7.2/.pc/python3.patch/configure:2657:      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/.pc/python3.patch/configure-2658-      esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2671-	case $ac_val in
v-sim-3.7.2/.pc/python3.patch/configure:2672:	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/.pc/python3.patch/configure-2673-	esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2791-    set,)
v-sim-3.7.2/.pc/python3.patch/configure:2792:      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
v-sim-3.7.2/.pc/python3.patch/configure:2793:$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
v-sim-3.7.2/.pc/python3.patch/configure-2794-      ac_cache_corrupted=: ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2802-	# differences in whitespace do not lead to failure.
v-sim-3.7.2/.pc/python3.patch/configure:2803:	ac_old_val_w=`echo x $ac_old_val`
v-sim-3.7.2/.pc/python3.patch/configure:2804:	ac_new_val_w=`echo x $ac_new_val`
v-sim-3.7.2/.pc/python3.patch/configure-2805-	if test "$ac_old_val_w" != "$ac_new_val_w"; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-2822-    case $ac_new_val in
v-sim-3.7.2/.pc/python3.patch/configure:2823:    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/python3.patch/configure-2824-    *) ac_arg=$ac_var=$ac_new_val ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3015-if (
v-sim-3.7.2/.pc/python3.patch/configure:3016:   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-3017-   if test "$*" = "X"; then
v-sim-3.7.2/.pc/python3.patch/configure-3018-      # -L didn't work.
v-sim-3.7.2/.pc/python3.patch/configure:3019:      set X `ls -t "$srcdir/configure" conftest.file`
v-sim-3.7.2/.pc/python3.patch/configure-3020-   fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3051-ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
v-sim-3.7.2/.pc/python3.patch/configure:3052:program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
v-sim-3.7.2/.pc/python3.patch/configure-3053-
v-sim-3.7.2/.pc/python3.patch/configure-3054-# expand $ac_aux_dir to an absolute path
v-sim-3.7.2/.pc/python3.patch/configure:3055:am_aux_dir=`cd $ac_aux_dir && pwd`
v-sim-3.7.2/.pc/python3.patch/configure-3056-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3196-	   { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
v-sim-3.7.2/.pc/python3.patch/configure:3197:	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
v-sim-3.7.2/.pc/python3.patch/configure-3198-	     'mkdir (GNU coreutils) '* | \
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3275-set x ${MAKE-make}
v-sim-3.7.2/.pc/python3.patch/configure:3276:ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
v-sim-3.7.2/.pc/python3.patch/configure-3277-if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3285-# GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
v-sim-3.7.2/.pc/python3.patch/configure:3286:case `${MAKE-make} -f conftest.make 2>/dev/null` in
v-sim-3.7.2/.pc/python3.patch/configure-3287-  *@@@%%%=?*=@@@%%%*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3312-
v-sim-3.7.2/.pc/python3.patch/configure:3313:if test "`cd $srcdir && pwd`" != "`pwd`"; then
v-sim-3.7.2/.pc/python3.patch/configure-3314-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3739-$as_echo_n "checking whether the C compiler works... " >&6; }
v-sim-3.7.2/.pc/python3.patch/configure:3740:ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
v-sim-3.7.2/.pc/python3.patch/configure-3741-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3783-	then :; else
v-sim-3.7.2/.pc/python3.patch/configure:3784:	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-3785-	fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3843-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
v-sim-3.7.2/.pc/python3.patch/configure:3844:    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-3845-	  break;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-3952-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
v-sim-3.7.2/.pc/python3.patch/configure:3953:    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-3954-       break;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-4202-# Ignore all kinds of additional output from `make'.
v-sim-3.7.2/.pc/python3.patch/configure:4203:case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/.pc/python3.patch/configure-4204-*the\ am__doit\ target*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-4212-   echo '.include "confinc"' > confmf
v-sim-3.7.2/.pc/python3.patch/configure:4213:   case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/.pc/python3.patch/configure-4214-   *the\ am__doit\ target*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-4275-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/.pc/python3.patch/configure:4276:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/.pc/python3.patch/configure-4277-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-4660-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/.pc/python3.patch/configure:4661:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/.pc/python3.patch/configure-4662-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5289-test "x$ac_build_alias" = x &&
v-sim-3.7.2/.pc/python3.patch/configure:5290:  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
v-sim-3.7.2/.pc/python3.patch/configure-5291-test "x$ac_build_alias" = x &&
v-sim-3.7.2/.pc/python3.patch/configure-5292-  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
v-sim-3.7.2/.pc/python3.patch/configure:5293:ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
v-sim-3.7.2/.pc/python3.patch/configure-5294-  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5313-IFS=$ac_save_IFS
v-sim-3.7.2/.pc/python3.patch/configure:5314:case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/.pc/python3.patch/configure-5315-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5324-else
v-sim-3.7.2/.pc/python3.patch/configure:5325:  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
v-sim-3.7.2/.pc/python3.patch/configure-5326-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5346-IFS=$ac_save_IFS
v-sim-3.7.2/.pc/python3.patch/configure:5347:case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/.pc/python3.patch/configure-5348-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5702-if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
v-sim-3.7.2/.pc/python3.patch/configure:5703:   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/.pc/python3.patch/configure-5704-  ECHO='print -r --'
v-sim-3.7.2/.pc/python3.patch/configure:5705:elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/.pc/python3.patch/configure-5706-  ECHO='printf %s\n'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5771-  # Check for GNU $ac_path_SED
v-sim-3.7.2/.pc/python3.patch/configure:5772:case `"$ac_path_SED" --version 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-5773-*GNU*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5847-  # Check for GNU $ac_path_GREP
v-sim-3.7.2/.pc/python3.patch/configure:5848:case `"$ac_path_GREP" --version 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-5849-*GNU*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5913-  # Check for GNU $ac_path_EGREP
v-sim-3.7.2/.pc/python3.patch/configure:5914:case `"$ac_path_EGREP" --version 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-5915-*GNU*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-5980-  # Check for GNU $ac_path_FGREP
v-sim-3.7.2/.pc/python3.patch/configure:5981:case `"$ac_path_FGREP" --version 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-5982-*GNU*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6060-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/.pc/python3.patch/configure:6061:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-6062-  *)
v-sim-3.7.2/.pc/python3.patch/configure:6063:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/.pc/python3.patch/configure-6064-  esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6069-      # Canonicalize the pathname of ld
v-sim-3.7.2/.pc/python3.patch/configure:6070:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/.pc/python3.patch/configure-6071-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/.pc/python3.patch/configure:6072:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/.pc/python3.patch/configure-6073-      done
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6104-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/.pc/python3.patch/configure:6105:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/python3.patch/configure-6106-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6135-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/.pc/python3.patch/configure:6136:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/python3.patch/configure-6137-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6180-	# Tru64's nm complains that /dev/null is an invalid object file
v-sim-3.7.2/.pc/python3.patch/configure:6181:	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/python3.patch/configure-6182-	*/dev/null* | *'Invalid file or object type'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6186-	*)
v-sim-3.7.2/.pc/python3.patch/configure:6187:	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/python3.patch/configure-6188-	  */dev/null*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6314-
v-sim-3.7.2/.pc/python3.patch/configure:6315:    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/python3.patch/configure-6316-    *COFF*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6426-    # And add a safety zone
v-sim-3.7.2/.pc/python3.patch/configure:6427:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/.pc/python3.patch/configure:6428:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/.pc/python3.patch/configure-6429-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6459-    if test -n "$kargmax"; then
v-sim-3.7.2/.pc/python3.patch/configure:6460:      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[	 ]//'`
v-sim-3.7.2/.pc/python3.patch/configure-6461-    else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6467-    if test -n "$lt_cv_sys_max_cmd_len"; then
v-sim-3.7.2/.pc/python3.patch/configure:6468:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/.pc/python3.patch/configure:6469:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/.pc/python3.patch/configure-6470-    else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6479-      # we can't tell.
v-sim-3.7.2/.pc/python3.patch/configure:6480:      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
v-sim-3.7.2/.pc/python3.patch/configure-6481-	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6483-      do
v-sim-3.7.2/.pc/python3.patch/configure:6484:        i=`expr $i + 1`
v-sim-3.7.2/.pc/python3.patch/configure-6485-        teststring=$teststring$teststring
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6487-      # Only check the string length outside the loop.
v-sim-3.7.2/.pc/python3.patch/configure:6488:      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-6489-      teststring=
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6492-      # linker.  It appears as though 1/2 is a usable value.
v-sim-3.7.2/.pc/python3.patch/configure:6493:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
v-sim-3.7.2/.pc/python3.patch/configure-6494-    fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6929-openbsd*)
v-sim-3.7.2/.pc/python3.patch/configure:6930:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-6931-    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-6996-    else
v-sim-3.7.2/.pc/python3.patch/configure:6997:      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[\1]\/[\1]\/g;/g"`
v-sim-3.7.2/.pc/python3.patch/configure-6998-    fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7138-  # decide which to use based on capabilities of $DLLTOOL
v-sim-3.7.2/.pc/python3.patch/configure:7139:  case `$DLLTOOL --help 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-7140-  *--identify-strict*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7660-# If we're using GNU nm, then use its standard symbol codes.
v-sim-3.7.2/.pc/python3.patch/configure:7661:case `$NM -V 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-7662-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7678-mingw*)
v-sim-3.7.2/.pc/python3.patch/configure:7679:  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
v-sim-3.7.2/.pc/python3.patch/configure-7680-  ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7897-   if test "$GCC" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:7898:     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-7899-   fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7901- /*)
v-sim-3.7.2/.pc/python3.patch/configure:7902:   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
v-sim-3.7.2/.pc/python3.patch/configure-7903-   ;; #(
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7937-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:7938:    case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/python3.patch/configure-7939-      *ELF-32*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7957-    if test "$lt_cv_prog_gnu_ld" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:7958:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/python3.patch/configure-7959-	*32-bit*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-7969-    else
v-sim-3.7.2/.pc/python3.patch/configure:7970:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/python3.patch/configure-7971-	*32-bit*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9123-do :
v-sim-3.7.2/.pc/python3.patch/configure:9124:  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-9125-ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9128-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/.pc/python3.patch/configure:9129:#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
v-sim-3.7.2/.pc/python3.patch/configure-9130-_ACEOF
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9155-  case ${2} in
v-sim-3.7.2/.pc/python3.patch/configure:9156:  .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
v-sim-3.7.2/.pc/python3.patch/configure:9157:  *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
v-sim-3.7.2/.pc/python3.patch/configure-9158-  esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9425-done
v-sim-3.7.2/.pc/python3.patch/configure:9426:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/python3.patch/configure-9427-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9454-	"file_magic "*)
v-sim-3.7.2/.pc/python3.patch/configure:9455:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/.pc/python3.patch/configure-9456-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9520-	"file_magic "*)
v-sim-3.7.2/.pc/python3.patch/configure:9521:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/.pc/python3.patch/configure-9522-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-9887-      *)
v-sim-3.7.2/.pc/python3.patch/configure:9888:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-9889-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10247-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/python3.patch/configure:10248:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/python3.patch/configure:10249:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/python3.patch/configure-10250-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10336-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/python3.patch/configure:10337:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-10338-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10368-    supports_anon_versioning=no
v-sim-3.7.2/.pc/python3.patch/configure:10369:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-10370-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10437-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/python3.patch/configure:10438:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-10439-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10465-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/python3.patch/configure:10466:      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:10467:      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-10468-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10483-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/python3.patch/configure:10484:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-10485-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10488-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/python3.patch/configure:10489:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-10490-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10503-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/python3.patch/configure:10504:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-10505-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10507-	esac
v-sim-3.7.2/.pc/python3.patch/configure:10508:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-10509-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/python3.patch/configure:10510:	  whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-10511-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10575-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/python3.patch/configure:10576:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-10577-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10698-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/python3.patch/configure:10699:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/python3.patch/configure-10700-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10772-      }'
v-sim-3.7.2/.pc/python3.patch/configure:10773:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-10774-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-10775-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/.pc/python3.patch/configure:10776:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-10777-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10790-        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/python3.patch/configure:10791:        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/python3.patch/configure-10792-      else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10825-      }'
v-sim-3.7.2/.pc/python3.patch/configure:10826:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-10827-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-10828-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/.pc/python3.patch/configure:10829:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-10830-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10898-	archive_cmds='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure:10899:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-10900-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10935-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/python3.patch/configure:10936:	archive_cmds='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure-10937-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-10953-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/python3.patch/configure:10954:    whole_archive_flag_spec='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/python3.patch/configure-10955-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11133-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:11134:	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11135-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11161-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:11162:          archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11163-	fi
v-sim-3.7.2/.pc/python3.patch/configure-11164-      else
v-sim-3.7.2/.pc/python3.patch/configure:11165:	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:11166:	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11167-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11201-	hardcode_direct_absolute=yes
v-sim-3.7.2/.pc/python3.patch/configure:11202:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-11203-	  archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11234-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:11235:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11236-      else
v-sim-3.7.2/.pc/python3.patch/configure-11237-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:11238:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11239-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11247-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:11248:	archive_cmds='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-11249-	hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11251-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:11252:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:11253:	archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/python3.patch/configure:11254:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/python3.patch/configure-11255-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11270-      else
v-sim-3.7.2/.pc/python3.patch/configure:11271:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-11272-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11669-  esac
v-sim-3.7.2/.pc/python3.patch/configure:11670:  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
v-sim-3.7.2/.pc/python3.patch/configure-11671-  case $lt_search_path_spec in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11676-    # okay in the real world where ";" in dirpaths is itself problematic.
v-sim-3.7.2/.pc/python3.patch/configure:11677:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
v-sim-3.7.2/.pc/python3.patch/configure-11678-    ;;
v-sim-3.7.2/.pc/python3.patch/configure-11679-  *)
v-sim-3.7.2/.pc/python3.patch/configure:11680:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-11681-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11685-  lt_tmp_lt_search_path_spec=
v-sim-3.7.2/.pc/python3.patch/configure:11686:  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-11687-  for lt_sys_path in $lt_search_path_spec; do
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11720-  esac
v-sim-3.7.2/.pc/python3.patch/configure:11721:  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
v-sim-3.7.2/.pc/python3.patch/configure-11722-else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11808-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/python3.patch/configure:11809:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/python3.patch/configure-11810-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11844-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:11845:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:11846:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:11847:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-11848-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11853-      fi'
v-sim-3.7.2/.pc/python3.patch/configure:11854:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-11855-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11861-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:11862:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-11863-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11867-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/python3.patch/configure:11868:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-11869-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11871-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:11872:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-11873-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11880-    libname_spec='$name'
v-sim-3.7.2/.pc/python3.patch/configure:11881:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-11882-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11892-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/python3.patch/configure:11893:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/python3.patch/configure-11894-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11897-      # Convert to MSYS style.
v-sim-3.7.2/.pc/python3.patch/configure:11898:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/python3.patch/configure-11899-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11903-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/python3.patch/configure:11904:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/python3.patch/configure:11905:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure:11906:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-11907-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11911-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/python3.patch/configure:11912:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/python3.patch/configure-11913-      else
v-sim-3.7.2/.pc/python3.patch/configure:11914:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-11915-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11921-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:11922:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:11923:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:11924:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-11925-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/python3.patch/configure-11926-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/python3.patch/configure:11927:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-11928-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11935-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/python3.patch/configure:11936:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/python3.patch/configure-11937-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-11952-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:11953:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/python3.patch/configure-11954-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-12189-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/python3.patch/configure:12190:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/python3.patch/configure-12191-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-12263-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:12264:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-12265-    case $host_os in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13412-done
v-sim-3.7.2/.pc/python3.patch/configure:13413:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/python3.patch/configure-13414-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13444-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/.pc/python3.patch/configure:13445:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-13446-  *)
v-sim-3.7.2/.pc/python3.patch/configure:13447:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/.pc/python3.patch/configure-13448-  esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13453-      # Canonicalize the pathname of ld
v-sim-3.7.2/.pc/python3.patch/configure:13454:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/.pc/python3.patch/configure-13455-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/.pc/python3.patch/configure:13456:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/.pc/python3.patch/configure-13457-      done
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13488-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/.pc/python3.patch/configure:13489:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/python3.patch/configure-13490-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13519-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/.pc/python3.patch/configure:13520:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/python3.patch/configure-13521-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13553-        # ancient GNU ld didn't support --whole-archive et. al.
v-sim-3.7.2/.pc/python3.patch/configure:13554:        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
v-sim-3.7.2/.pc/python3.patch/configure-13555-	  $GREP 'no-whole-archive' > /dev/null; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13637-          # below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/python3.patch/configure:13638:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/python3.patch/configure-13639-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13710-      }'
v-sim-3.7.2/.pc/python3.patch/configure:13711:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-13712-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-13713-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/.pc/python3.patch/configure:13714:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-13715-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13729-
v-sim-3.7.2/.pc/python3.patch/configure:13730:          archive_expsym_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/python3.patch/configure-13731-        else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13764-      }'
v-sim-3.7.2/.pc/python3.patch/configure:13765:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-13766-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-13767-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/.pc/python3.patch/configure:13768:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-13769-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13837-	  archive_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure:13838:	  archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-13839-	      $SED -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13878-	    # is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/python3.patch/configure:13879:	    archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-13880-	      cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13899-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/python3.patch/configure:13900:    whole_archive_flag_spec_CXX='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/python3.patch/configure-13901-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-13993-            # dependencies.
v-sim-3.7.2/.pc/python3.patch/configure:13994:            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/python3.patch/configure-13995-            ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14058-	    # dependencies.
v-sim-3.7.2/.pc/python3.patch/configure:14059:	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/python3.patch/configure-14060-	    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14094-	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/python3.patch/configure:14095:	archive_cmds_CXX='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:14096:	archive_expsym_cmds_CXX='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14097-	;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14101-	    # SGI C++
v-sim-3.7.2/.pc/python3.patch/configure:14102:	    archive_cmds_CXX='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14103-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14112-	      if test "$with_gnu_ld" = no; then
v-sim-3.7.2/.pc/python3.patch/configure:14113:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14114-	      else
v-sim-3.7.2/.pc/python3.patch/configure:14115:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14116-	      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14133-	    # to its proper name (with version) after linking.
v-sim-3.7.2/.pc/python3.patch/configure:14134:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/.pc/python3.patch/configure:14135:	    archive_expsym_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14136-	    # Commands to make compiler produce verbose output that lists
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14143-	    # dependencies.
v-sim-3.7.2/.pc/python3.patch/configure:14144:	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/python3.patch/configure-14145-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14158-	    # earlier do not add the objects themselves.
v-sim-3.7.2/.pc/python3.patch/configure:14159:	    case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-14160-	      *"Version 7."*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14179-            # Portland Group C++ compiler
v-sim-3.7.2/.pc/python3.patch/configure:14180:	    case `$CC -V` in
v-sim-3.7.2/.pc/python3.patch/configure-14181-	    *pgCC\ [1-5].* | *pgcpp\ [1-5].*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14184-		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
v-sim-3.7.2/.pc/python3.patch/configure:14185:		compile_command="$compile_command `find $tpldir -name \*.o | sort | $NL2SP`"'
v-sim-3.7.2/.pc/python3.patch/configure-14186-	      old_archive_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14188-		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
v-sim-3.7.2/.pc/python3.patch/configure:14189:		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | sort | $NL2SP`~
v-sim-3.7.2/.pc/python3.patch/configure-14190-		$RANLIB $oldlib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14193-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/.pc/python3.patch/configure:14194:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14195-	      archive_expsym_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14197-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/.pc/python3.patch/configure:14198:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14199-	      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14207-	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
v-sim-3.7.2/.pc/python3.patch/configure:14208:	    whole_archive_flag_spec_CXX='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-14209-            ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14226-	    # dependencies.
v-sim-3.7.2/.pc/python3.patch/configure:14227:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "X$list" | $Xsed'
v-sim-3.7.2/.pc/python3.patch/configure-14228-	    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14241-	  *)
v-sim-3.7.2/.pc/python3.patch/configure:14242:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-14243-	    *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14248-	      hardcode_libdir_flag_spec_CXX='-R$libdir'
v-sim-3.7.2/.pc/python3.patch/configure:14249:	      whole_archive_flag_spec_CXX='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-14250-	      compiler_needs_object_CXX=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14318-	  hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
v-sim-3.7.2/.pc/python3.patch/configure:14319:	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-14320-	    archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14337-	    # to its proper name (with version) after linking.
v-sim-3.7.2/.pc/python3.patch/configure:14338:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14339-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14358-	        allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:14359:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && func_echo_all "${wl}-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14360-	        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14363-	        allow_undefined_flag_CXX=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:14364:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:14365:	        archive_expsym_cmds_CXX='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
v-sim-3.7.2/.pc/python3.patch/configure-14366-	          echo "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/python3.patch/configure:14367:	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~
v-sim-3.7.2/.pc/python3.patch/configure-14368-	          $RM $lib.exp'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14382-	    # dependencies.
v-sim-3.7.2/.pc/python3.patch/configure:14383:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/python3.patch/configure-14384-	    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14389-	        osf3*)
v-sim-3.7.2/.pc/python3.patch/configure:14390:	          archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14391-		  ;;
v-sim-3.7.2/.pc/python3.patch/configure-14392-	        *)
v-sim-3.7.2/.pc/python3.patch/configure:14393:	          archive_cmds_CXX='$CC -shared $pic_flag -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-14394-		  ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14636-
v-sim-3.7.2/.pc/python3.patch/configure:14637:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/.pc/python3.patch/configure-14638-    case ${prev}${p} in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14733-linux*)
v-sim-3.7.2/.pc/python3.patch/configure:14734:  case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-14735-  *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-14784-if test -n "${compiler_lib_search_path_CXX}"; then
v-sim-3.7.2/.pc/python3.patch/configure:14785: compiler_lib_search_dirs_CXX=`echo " ${compiler_lib_search_path_CXX}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/.pc/python3.patch/configure-14786-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15025-	  *)
v-sim-3.7.2/.pc/python3.patch/configure:15026:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-15027-	    *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15379-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/python3.patch/configure:15380:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/python3.patch/configure:15381:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/python3.patch/configure-15382-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15656-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/python3.patch/configure:15657:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/python3.patch/configure-15658-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15692-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:15693:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:15694:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:15695:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-15696-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15701-      fi'
v-sim-3.7.2/.pc/python3.patch/configure:15702:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-15703-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15709-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:15710:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-15711-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15714-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/python3.patch/configure:15715:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-15716-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15718-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:15719:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-15720-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15727-    libname_spec='$name'
v-sim-3.7.2/.pc/python3.patch/configure:15728:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-15729-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15739-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/python3.patch/configure:15740:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/python3.patch/configure-15741-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15744-      # Convert to MSYS style.
v-sim-3.7.2/.pc/python3.patch/configure:15745:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/python3.patch/configure-15746-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15750-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/python3.patch/configure:15751:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/python3.patch/configure:15752:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure:15753:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-15754-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15758-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/python3.patch/configure:15759:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/python3.patch/configure-15760-      else
v-sim-3.7.2/.pc/python3.patch/configure:15761:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-15762-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15768-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:15769:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:15770:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:15771:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-15772-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/python3.patch/configure-15773-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/python3.patch/configure:15774:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-15775-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15782-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/python3.patch/configure:15783:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/python3.patch/configure-15784-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-15799-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:15800:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/python3.patch/configure-15801-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-16035-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/python3.patch/configure:16036:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/python3.patch/configure-16037-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-16109-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:16110:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-16111-    case $host_os in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-16470-done
v-sim-3.7.2/.pc/python3.patch/configure:16471:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/python3.patch/configure-16472-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-16711-      *)
v-sim-3.7.2/.pc/python3.patch/configure:16712:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-16713-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17059-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/python3.patch/configure:17060:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/python3.patch/configure:17061:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/python3.patch/configure-17062-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17145-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/python3.patch/configure:17146:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-17147-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17177-    supports_anon_versioning=no
v-sim-3.7.2/.pc/python3.patch/configure:17178:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-17179-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17246-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/python3.patch/configure:17247:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-17248-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17274-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/python3.patch/configure:17275:      archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:17276:      archive_expsym_cmds_F77='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17277-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17292-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/python3.patch/configure:17293:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-17294-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17297-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/python3.patch/configure:17298:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-17299-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17312-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/python3.patch/configure:17313:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-17314-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17316-	esac
v-sim-3.7.2/.pc/python3.patch/configure:17317:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-17318-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/python3.patch/configure:17319:	  whole_archive_flag_spec_F77='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-17320-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17384-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/python3.patch/configure:17385:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-17386-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17507-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/python3.patch/configure:17508:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/python3.patch/configure-17509-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17575-      }'
v-sim-3.7.2/.pc/python3.patch/configure:17576:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-17577-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-17578-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/.pc/python3.patch/configure:17579:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-17580-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17593-        hardcode_libdir_flag_spec_F77='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/python3.patch/configure:17594:        archive_expsym_cmds_F77='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/python3.patch/configure-17595-      else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17622-      }'
v-sim-3.7.2/.pc/python3.patch/configure:17623:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-17624-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-17625-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/.pc/python3.patch/configure:17626:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-17627-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17695-	archive_cmds_F77='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure:17696:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-17697-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17732-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/python3.patch/configure:17733:	archive_cmds_F77='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure-17734-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17750-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/python3.patch/configure:17751:    whole_archive_flag_spec_F77='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/python3.patch/configure-17752-    compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17891-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:17892:	archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17893-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17920-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:17921:          archive_expsym_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17922-	fi
v-sim-3.7.2/.pc/python3.patch/configure-17923-      else
v-sim-3.7.2/.pc/python3.patch/configure:17924:	archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:17925:	archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17926-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17960-	hardcode_direct_absolute_F77=yes
v-sim-3.7.2/.pc/python3.patch/configure:17961:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-17962-	  archive_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-17993-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:17994:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17995-      else
v-sim-3.7.2/.pc/python3.patch/configure-17996-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:17997:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-17998-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18006-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:18007:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-18008-	hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18010-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:18011:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:18012:	archive_expsym_cmds_F77='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/python3.patch/configure:18013:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/python3.patch/configure-18014-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18029-      else
v-sim-3.7.2/.pc/python3.patch/configure:18030:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-18031-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18404-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/python3.patch/configure:18405:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/python3.patch/configure-18406-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18440-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:18441:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:18442:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:18443:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-18444-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18449-      fi'
v-sim-3.7.2/.pc/python3.patch/configure:18450:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-18451-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18457-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:18458:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-18459-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18462-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/python3.patch/configure:18463:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-18464-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18466-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:18467:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-18468-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18475-    libname_spec='$name'
v-sim-3.7.2/.pc/python3.patch/configure:18476:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-18477-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18487-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/python3.patch/configure:18488:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/python3.patch/configure-18489-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18492-      # Convert to MSYS style.
v-sim-3.7.2/.pc/python3.patch/configure:18493:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/python3.patch/configure-18494-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18498-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/python3.patch/configure:18499:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/python3.patch/configure:18500:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure:18501:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-18502-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18506-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/python3.patch/configure:18507:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/python3.patch/configure-18508-      else
v-sim-3.7.2/.pc/python3.patch/configure:18509:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-18510-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18516-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:18517:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:18518:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:18519:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-18520-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/python3.patch/configure-18521-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/python3.patch/configure:18522:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-18523-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18530-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/python3.patch/configure:18531:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/python3.patch/configure-18532-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18547-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:18548:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/python3.patch/configure-18549-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18777-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/python3.patch/configure:18778:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/python3.patch/configure-18779-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-18851-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:18852:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-18853-    case $host_os in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-19208-done
v-sim-3.7.2/.pc/python3.patch/configure:19209:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/python3.patch/configure-19210-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-19290-
v-sim-3.7.2/.pc/python3.patch/configure:19291:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/.pc/python3.patch/configure-19292-    case ${prev}${p} in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-19384-if test -n "${compiler_lib_search_path_FC}"; then
v-sim-3.7.2/.pc/python3.patch/configure:19385: compiler_lib_search_dirs_FC=`echo " ${compiler_lib_search_path_FC}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/.pc/python3.patch/configure-19386-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-19594-      *)
v-sim-3.7.2/.pc/python3.patch/configure:19595:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-19596-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-19942-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/python3.patch/configure:19943:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/python3.patch/configure:19944:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/python3.patch/configure-19945-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20028-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/python3.patch/configure:20029:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-20030-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20060-    supports_anon_versioning=no
v-sim-3.7.2/.pc/python3.patch/configure:20061:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-20062-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20129-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/python3.patch/configure:20130:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-20131-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20157-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/python3.patch/configure:20158:      archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:20159:      archive_expsym_cmds_FC='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20160-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20175-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/python3.patch/configure:20176:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-20177-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20180-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/python3.patch/configure:20181:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-20182-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20195-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/python3.patch/configure:20196:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-20197-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20199-	esac
v-sim-3.7.2/.pc/python3.patch/configure:20200:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/python3.patch/configure-20201-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/python3.patch/configure:20202:	  whole_archive_flag_spec_FC='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/python3.patch/configure-20203-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20267-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/python3.patch/configure:20268:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-20269-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20390-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/python3.patch/configure:20391:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/python3.patch/configure-20392-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20458-      }'
v-sim-3.7.2/.pc/python3.patch/configure:20459:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-20460-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-20461-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/.pc/python3.patch/configure:20462:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-20463-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20476-        hardcode_libdir_flag_spec_FC='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/python3.patch/configure:20477:        archive_expsym_cmds_FC='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/python3.patch/configure-20478-      else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20505-      }'
v-sim-3.7.2/.pc/python3.patch/configure:20506:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-20507-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/python3.patch/configure-20508-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/.pc/python3.patch/configure:20509:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/python3.patch/configure-20510-  fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20578-	archive_cmds_FC='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure:20579:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/python3.patch/configure-20580-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20615-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/python3.patch/configure:20616:	archive_cmds_FC='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/python3.patch/configure-20617-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20633-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/python3.patch/configure:20634:    whole_archive_flag_spec_FC='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/python3.patch/configure-20635-    compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20774-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:20775:	archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20776-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20803-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:20804:          archive_expsym_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20805-	fi
v-sim-3.7.2/.pc/python3.patch/configure-20806-      else
v-sim-3.7.2/.pc/python3.patch/configure:20807:	archive_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:20808:	archive_expsym_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20809-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20843-	hardcode_direct_absolute_FC=yes
v-sim-3.7.2/.pc/python3.patch/configure:20844:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-20845-	  archive_cmds_FC='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20876-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:20877:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20878-      else
v-sim-3.7.2/.pc/python3.patch/configure-20879-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:20880:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20881-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20889-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/python3.patch/configure:20890:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure-20891-	hardcode_libdir_flag_spec_FC='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20893-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/.pc/python3.patch/configure:20894:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/python3.patch/configure:20895:	archive_expsym_cmds_FC='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/python3.patch/configure:20896:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/python3.patch/configure-20897-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-20912-      else
v-sim-3.7.2/.pc/python3.patch/configure:20913:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/python3.patch/configure-20914-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21287-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/python3.patch/configure:21288:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/python3.patch/configure-21289-    ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21323-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:21324:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:21325:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:21326:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-21327-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21332-      fi'
v-sim-3.7.2/.pc/python3.patch/configure:21333:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-21334-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21340-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:21341:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-21342-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21345-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/python3.patch/configure:21346:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-21347-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21349-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/python3.patch/configure:21350:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-21351-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21358-    libname_spec='$name'
v-sim-3.7.2/.pc/python3.patch/configure:21359:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/python3.patch/configure-21360-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21370-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/python3.patch/configure:21371:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/python3.patch/configure-21372-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21375-      # Convert to MSYS style.
v-sim-3.7.2/.pc/python3.patch/configure:21376:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/python3.patch/configure-21377-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21381-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/python3.patch/configure:21382:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/python3.patch/configure:21383:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure:21384:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-21385-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21389-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/python3.patch/configure:21390:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/python3.patch/configure-21391-      else
v-sim-3.7.2/.pc/python3.patch/configure:21392:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/python3.patch/configure-21393-      fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21399-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/python3.patch/configure:21400:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/python3.patch/configure:21401:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure:21402:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/python3.patch/configure-21403-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/python3.patch/configure-21404-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/python3.patch/configure:21405:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/python3.patch/configure-21406-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21413-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/python3.patch/configure:21414:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/python3.patch/configure-21415-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21430-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:21431:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/python3.patch/configure-21432-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21660-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/python3.patch/configure:21661:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/python3.patch/configure-21662-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-21734-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/python3.patch/configure:21735:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/python3.patch/configure-21736-    case $host_os in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22141-    for ac_var in incroot usrlibdir libdir; do
v-sim-3.7.2/.pc/python3.patch/configure:22142:      eval "ac_im_$ac_var=\`\${MAKE-make} $ac_var 2>/dev/null | sed -n 's/^$ac_var=//p'\`"
v-sim-3.7.2/.pc/python3.patch/configure-22143-    done
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22251-  LIBS=$ac_save_LIBS
v-sim-3.7.2/.pc/python3.patch/configure:22252:for ac_dir in `$as_echo "$ac_x_includes $ac_x_header_dirs" | sed s/include/lib/g`
v-sim-3.7.2/.pc/python3.patch/configure-22253-do
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22399-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/.pc/python3.patch/configure:22400:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/.pc/python3.patch/configure-22401-    else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22468-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/.pc/python3.patch/configure:22469:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/.pc/python3.patch/configure-22470-    else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22685-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:22686:  pkg_cv_FTGL_CFLAGS=`$PKG_CONFIG --cflags "ftgl" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-22687-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22702-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:22703:  pkg_cv_FTGL_LIBS=`$PKG_CONFIG --libs "ftgl" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-22704-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22723-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:22724:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-22725-        else
v-sim-3.7.2/.pc/python3.patch/configure:22726:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-22727-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22767-do :
v-sim-3.7.2/.pc/python3.patch/configure:22768:  as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-22769-ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22771-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/.pc/python3.patch/configure:22772:#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
v-sim-3.7.2/.pc/python3.patch/configure-22773-_ACEOF
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-22811-
v-sim-3.7.2/.pc/python3.patch/configure:22812:INTLTOOL_REQUIRED_VERSION_AS_INT=`echo 0.35.0 | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/.pc/python3.patch/configure-22813-INTLTOOL_APPLIED_VERSION=`intltool-update --version | head -1 | cut -d" " -f3`
v-sim-3.7.2/.pc/python3.patch/configure:22814:INTLTOOL_APPLIED_VERSION_AS_INT=`echo $INTLTOOL_APPLIED_VERSION | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/.pc/python3.patch/configure-22815-if test -n "0.35.0"; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-23251-fi
v-sim-3.7.2/.pc/python3.patch/configure:23252:xgversion="`$XGETTEXT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/python3.patch/configure:23253:mmversion="`$MSGMERGE --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/python3.patch/configure:23254:mfversion="`$MSGFMT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/python3.patch/configure-23255-if test -z "$xgversion" -o -z "$mmversion" -o -z "$mfversion"; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-23307-else
v-sim-3.7.2/.pc/python3.patch/configure:23308:   IT_PERL_VERSION=`$INTLTOOL_PERL -e "printf '%vd', $^V"`
v-sim-3.7.2/.pc/python3.patch/configure-23309-   { $as_echo "$as_me:${as_lineno-$LINENO}: result: $IT_PERL_VERSION" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-23314-$as_echo_n "checking for XML::Parser... " >&6; }
v-sim-3.7.2/.pc/python3.patch/configure:23315:   if `$INTLTOOL_PERL -e "require XML::Parser" 2>/dev/null`; then
v-sim-3.7.2/.pc/python3.patch/configure-23316-       { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-23793-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/.pc/python3.patch/configure:23794:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep 'dv '`"; then
v-sim-3.7.2/.pc/python3.patch/configure-23795-	ac_cv_path_MSGFMT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-23912-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/.pc/python3.patch/configure:23913:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep '(HELP)'`"; then
v-sim-3.7.2/.pc/python3.patch/configure-23914-	ac_cv_path_XGETTEXT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24071-   if test "x$srcdir" != "x."; then
v-sim-3.7.2/.pc/python3.patch/configure:24072:     if test "x`echo $srcdir | sed 's@/.*@@'`" = "x"; then
v-sim-3.7.2/.pc/python3.patch/configure-24073-       posrcprefix="$srcdir/"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24103-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24104:  pkg_cv_GLIB_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24105-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24120-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24121:  pkg_cv_GLIB_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24122-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24141-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:24142:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24143-        else
v-sim-3.7.2/.pc/python3.patch/configure:24144:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24145-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24194-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24195:  pkg_cv_CAIRO_CFLAGS=`$PKG_CONFIG --cflags "cairo" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24196-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24211-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24212:  pkg_cv_CAIRO_LIBS=`$PKG_CONFIG --libs "cairo" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24213-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24232-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:24233:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24234-        else
v-sim-3.7.2/.pc/python3.patch/configure:24235:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24236-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24289-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24290:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24291-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24306-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24307:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24308-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24327-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:24328:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24329-        else
v-sim-3.7.2/.pc/python3.patch/configure:24330:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24331-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24410-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24411:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24412-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24427-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24428:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24429-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24448-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:24449:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24450-        else
v-sim-3.7.2/.pc/python3.patch/configure:24451:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24452-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24723-else
v-sim-3.7.2/.pc/python3.patch/configure:24724:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/.pc/python3.patch/configure-24725-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24742-else
v-sim-3.7.2/.pc/python3.patch/configure:24743:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/.pc/python3.patch/configure-24744-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24762-     fi
v-sim-3.7.2/.pc/python3.patch/configure:24763:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24764-     case $am_cv_python_pythondir in
v-sim-3.7.2/.pc/python3.patch/configure-24765-     $am_py_prefix*)
v-sim-3.7.2/.pc/python3.patch/configure:24766:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/python3.patch/configure:24767:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/.pc/python3.patch/configure-24768-       ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24799-     fi
v-sim-3.7.2/.pc/python3.patch/configure:24800:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24801-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/.pc/python3.patch/configure-24802-     $am_py_exec_prefix*)
v-sim-3.7.2/.pc/python3.patch/configure:24803:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/python3.patch/configure:24804:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/.pc/python3.patch/configure-24805-       ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24832-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/.pc/python3.patch/configure:24833:py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/.pc/python3.patch/configure:24834:py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/.pc/python3.patch/configure-24835-if test -x "$PYTHON-config"; then
v-sim-3.7.2/.pc/python3.patch/configure:24836:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24837-else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24861-
v-sim-3.7.2/.pc/python3.patch/configure:24862:  py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/.pc/python3.patch/configure-24863-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24878-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24879:  pkg_cv_PYGTK_CFLAGS=`$PKG_CONFIG --cflags "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24880-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24895-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:24896:  pkg_cv_PYGTK_LIBS=`$PKG_CONFIG --libs "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-24897-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-24916-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:24917:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24918-        else
v-sim-3.7.2/.pc/python3.patch/configure:24919:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-24920-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25148-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:25149:  pkg_cv_GTKDOC_DEPS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-25150-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25165-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:25166:  pkg_cv_GTKDOC_DEPS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-25167-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25186-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:25187:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-25188-        else
v-sim-3.7.2/.pc/python3.patch/configure:25189:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-25190-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25378-      LDFLAGS="$LDFLAGS $NC_LDFLAGS"
v-sim-3.7.2/.pc/python3.patch/configure:25379:      as_ac_Lib=`$as_echo "ac_cv_lib_netcdf_$ac_check_nc_func_checked" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-25380-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_check_nc_func_checked in -lnetcdf" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25839-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:25840:  pkg_cv_OPENBABEL_CFLAGS=`$PKG_CONFIG --cflags "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-25841-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25856-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:25857:  pkg_cv_OPENBABEL_LIBS=`$PKG_CONFIG --libs "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-25858-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-25877-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:25878:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-25879-        else
v-sim-3.7.2/.pc/python3.patch/configure:25880:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-25881-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26017-  *xlfentry*)
v-sim-3.7.2/.pc/python3.patch/configure:26018:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26019-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26022-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/python3.patch/configure:26023:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26024-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26035-  *cft90*)
v-sim-3.7.2/.pc/python3.patch/configure:26036:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26037-esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26108-  *xlfentry*)
v-sim-3.7.2/.pc/python3.patch/configure:26109:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26110-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26113-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/python3.patch/configure:26114:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26115-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26126-  *cft90*)
v-sim-3.7.2/.pc/python3.patch/configure:26127:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-26128-esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26198-	-YP,*)
v-sim-3.7.2/.pc/python3.patch/configure:26199:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/.pc/python3.patch/configure-26200-	      ac_exists=false
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26659-        save_LIBS="$LIBS"; LIBS="$LIBS"
v-sim-3.7.2/.pc/python3.patch/configure:26660:        as_ac_var=`$as_echo "ac_cv_func_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26661-ac_fn_c_check_func "$LINENO" "$sgemm" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26715-if test "x$ac_cv_lib_atlas_ATL_xerbla" = xyes; then :
v-sim-3.7.2/.pc/python3.patch/configure:26716:  as_ac_Lib=`$as_echo "ac_cv_lib_f77blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26717-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lf77blas" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26819-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:26820:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26821-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26866-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/python3.patch/configure:26867:  as_ac_Lib=`$as_echo "ac_cv_lib_dgemm_$dgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26868-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $dgemm in -ldgemm" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26913-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/python3.patch/configure:26914:  as_ac_Lib=`$as_echo "ac_cv_lib_sgemm_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26915-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsgemm" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-26971-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:26972:        as_ac_Lib=`$as_echo "ac_cv_lib_cxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-26973-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcxml" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27025-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:27026:        as_ac_Lib=`$as_echo "ac_cv_lib_dxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27027-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -ldxml" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27125-if test "x$ac_cv_lib_sunmath_acosp" = xyes; then :
v-sim-3.7.2/.pc/python3.patch/configure:27126:  as_ac_Lib=`$as_echo "ac_cv_lib_sunperf_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27127-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsunperf" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27183-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:27184:        as_ac_Lib=`$as_echo "ac_cv_lib_scs_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27185-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lscs" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27237-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:27238:        as_ac_Lib=`$as_echo "ac_cv_lib_complib.sgimath_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27239-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcomplib.sgimath" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27291-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:27292:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27293-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27338-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/python3.patch/configure:27339:  as_ac_Lib=`$as_echo "ac_cv_lib_essl_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27340-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lessl" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27394-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/python3.patch/configure:27395:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27396-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27553-        save_LIBS="$LIBS"; LIBS="$LIBS $BLAS_LIBS $FLIBS"
v-sim-3.7.2/.pc/python3.patch/configure:27554:        as_ac_var=`$as_echo "ac_cv_func_$cheev" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27555-ac_fn_c_check_func "$LINENO" "$cheev" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-27566-                save_LIBS="$LIBS"; LIBS="$BLAS_LIBS $LIBS"
v-sim-3.7.2/.pc/python3.patch/configure:27567:                as_ac_Lib=`$as_echo "ac_cv_lib_$lapack''_$cheev" | $as_tr_sh`
v-sim-3.7.2/.pc/python3.patch/configure-27568-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $cheev in -l$lapack" >&5
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28001-  *xlfentry*)
v-sim-3.7.2/.pc/python3.patch/configure:28002:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28003-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28006-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/python3.patch/configure:28007:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28008-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28019-  *cft90*)
v-sim-3.7.2/.pc/python3.patch/configure:28020:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28021-esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28092-  *xlfentry*)
v-sim-3.7.2/.pc/python3.patch/configure:28093:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28094-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28097-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/python3.patch/configure:28098:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28099-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28110-  *cft90*)
v-sim-3.7.2/.pc/python3.patch/configure:28111:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/python3.patch/configure-28112-esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-28182-	-YP,*)
v-sim-3.7.2/.pc/python3.patch/configure:28183:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/.pc/python3.patch/configure-28184-	      ac_exists=false
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29075-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29076:  pkg_cv_LIB_ARCHIVE_CFLAGS=`$PKG_CONFIG --cflags "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29077-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29092-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29093:  pkg_cv_LIB_ARCHIVE_LIBS=`$PKG_CONFIG --libs "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29094-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29113-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:29114:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29115-        else
v-sim-3.7.2/.pc/python3.patch/configure:29116:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29117-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29210-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29211:  pkg_cv_GLIB_BIGDFT_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29212-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29227-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29228:  pkg_cv_GLIB_BIGDFT_LIBS=`$PKG_CONFIG --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29229-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29248-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:29249:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29250-        else
v-sim-3.7.2/.pc/python3.patch/configure:29251:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29252-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29451-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29452:  pkg_cv_GOBJECT_INTROSPECTION_CFLAGS=`$PKG_CONFIG --cflags "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29453-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29468-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29469:  pkg_cv_GOBJECT_INTROSPECTION_LIBS=`$PKG_CONFIG --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29470-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29489-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:29490:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29491-        else
v-sim-3.7.2/.pc/python3.patch/configure:29492:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29493-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29530-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29531:  pkg_cv_PYGOBJECT_CFLAGS=`$PKG_CONFIG --cflags "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29532-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29547-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/python3.patch/configure:29548:  pkg_cv_PYGOBJECT_LIBS=`$PKG_CONFIG --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29549-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29568-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/python3.patch/configure:29569:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29570-        else
v-sim-3.7.2/.pc/python3.patch/configure:29571:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/python3.patch/configure-29572-        fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29728-else
v-sim-3.7.2/.pc/python3.patch/configure:29729:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/.pc/python3.patch/configure-29730-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29747-else
v-sim-3.7.2/.pc/python3.patch/configure:29748:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/.pc/python3.patch/configure-29749-fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29767-     fi
v-sim-3.7.2/.pc/python3.patch/configure:29768:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29769-     case $am_cv_python_pythondir in
v-sim-3.7.2/.pc/python3.patch/configure-29770-     $am_py_prefix*)
v-sim-3.7.2/.pc/python3.patch/configure:29771:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/python3.patch/configure:29772:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/.pc/python3.patch/configure-29773-       ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29804-     fi
v-sim-3.7.2/.pc/python3.patch/configure:29805:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29806-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/.pc/python3.patch/configure-29807-     $am_py_exec_prefix*)
v-sim-3.7.2/.pc/python3.patch/configure:29808:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/python3.patch/configure:29809:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/.pc/python3.patch/configure-29810-       ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29837-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/.pc/python3.patch/configure:29838:py_prefix=`$PYTHON -c "import sys; print sys.prefix"`
v-sim-3.7.2/.pc/python3.patch/configure:29839:py_exec_prefix=`$PYTHON -c "import sys; print sys.exec_prefix"`
v-sim-3.7.2/.pc/python3.patch/configure-29840-if test -x "$PYTHON-config"; then
v-sim-3.7.2/.pc/python3.patch/configure:29841:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-29842-else
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-29873-$as_echo_n "checking for pygobject overrides directory... " >&6; }
v-sim-3.7.2/.pc/python3.patch/configure:29874:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/.pc/python3.patch/configure-29875-  # fallback if the previous failed
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30086-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
v-sim-3.7.2/.pc/python3.patch/configure:30087:  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
v-sim-3.7.2/.pc/python3.patch/configure-30088-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30269-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/.pc/python3.patch/configure:30270:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/python3.patch/configure-30271-  as_echo='print -r --'
v-sim-3.7.2/.pc/python3.patch/configure-30272-  as_echo_n='print -rn --'
v-sim-3.7.2/.pc/python3.patch/configure:30273:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/python3.patch/configure-30274-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30276-else
v-sim-3.7.2/.pc/python3.patch/configure:30277:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/.pc/python3.patch/configure-30278-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30286-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/.pc/python3.patch/configure:30287:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/.pc/python3.patch/configure-30288-      esac;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30363-# ----------------------------------------
v-sim-3.7.2/.pc/python3.patch/configure:30364:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/.pc/python3.patch/configure-30365-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30435-  {
v-sim-3.7.2/.pc/python3.patch/configure:30436:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/.pc/python3.patch/configure-30437-  }
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30539-      case $as_dir in #(
v-sim-3.7.2/.pc/python3.patch/configure:30540:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/.pc/python3.patch/configure-30541-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30596-	esac;
v-sim-3.7.2/.pc/python3.patch/configure:30597:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/.pc/python3.patch/configure-30598-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30686-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
v-sim-3.7.2/.pc/python3.patch/configure:30687:ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
v-sim-3.7.2/.pc/python3.patch/configure-30688-ac_cs_version="\\
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30711-  --*=?*)
v-sim-3.7.2/.pc/python3.patch/configure:30712:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/.pc/python3.patch/configure:30713:    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
v-sim-3.7.2/.pc/python3.patch/configure-30714-    ac_shift=:
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30716-  --*=)
v-sim-3.7.2/.pc/python3.patch/configure:30717:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/.pc/python3.patch/configure-30718-    ac_optarg=
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30740-    case $ac_optarg in
v-sim-3.7.2/.pc/python3.patch/configure:30741:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/python3.patch/configure-30742-    '') as_fn_error $? "missing file argument" ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30748-    case $ac_optarg in
v-sim-3.7.2/.pc/python3.patch/configure:30749:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/python3.patch/configure-30750-    esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-30817-delay_variable_subst='$delay_variable_subst'
v-sim-3.7.2/.pc/python3.patch/configure:30818:AS='`$ECHO "$AS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30819:DLLTOOL='`$ECHO "$DLLTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30820:OBJDUMP='`$ECHO "$OBJDUMP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30821:macro_version='`$ECHO "$macro_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30822:macro_revision='`$ECHO "$macro_revision" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30823:enable_shared='`$ECHO "$enable_shared" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30824:enable_static='`$ECHO "$enable_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30825:pic_mode='`$ECHO "$pic_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30826:enable_fast_install='`$ECHO "$enable_fast_install" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30827:SHELL='`$ECHO "$SHELL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30828:ECHO='`$ECHO "$ECHO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30829:PATH_SEPARATOR='`$ECHO "$PATH_SEPARATOR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30830:host_alias='`$ECHO "$host_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30831:host='`$ECHO "$host" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30832:host_os='`$ECHO "$host_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30833:build_alias='`$ECHO "$build_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30834:build='`$ECHO "$build" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30835:build_os='`$ECHO "$build_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30836:SED='`$ECHO "$SED" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30837:Xsed='`$ECHO "$Xsed" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30838:GREP='`$ECHO "$GREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30839:EGREP='`$ECHO "$EGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30840:FGREP='`$ECHO "$FGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30841:LD='`$ECHO "$LD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30842:NM='`$ECHO "$NM" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30843:LN_S='`$ECHO "$LN_S" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30844:max_cmd_len='`$ECHO "$max_cmd_len" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30845:ac_objext='`$ECHO "$ac_objext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30846:exeext='`$ECHO "$exeext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30847:lt_unset='`$ECHO "$lt_unset" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30848:lt_SP2NL='`$ECHO "$lt_SP2NL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30849:lt_NL2SP='`$ECHO "$lt_NL2SP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30850:lt_cv_to_host_file_cmd='`$ECHO "$lt_cv_to_host_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30851:lt_cv_to_tool_file_cmd='`$ECHO "$lt_cv_to_tool_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30852:reload_flag='`$ECHO "$reload_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30853:reload_cmds='`$ECHO "$reload_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30854:deplibs_check_method='`$ECHO "$deplibs_check_method" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30855:file_magic_cmd='`$ECHO "$file_magic_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30856:file_magic_glob='`$ECHO "$file_magic_glob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30857:want_nocaseglob='`$ECHO "$want_nocaseglob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30858:sharedlib_from_linklib_cmd='`$ECHO "$sharedlib_from_linklib_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30859:AR='`$ECHO "$AR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30860:AR_FLAGS='`$ECHO "$AR_FLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30861:archiver_list_spec='`$ECHO "$archiver_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30862:STRIP='`$ECHO "$STRIP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30863:RANLIB='`$ECHO "$RANLIB" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30864:old_postinstall_cmds='`$ECHO "$old_postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30865:old_postuninstall_cmds='`$ECHO "$old_postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30866:old_archive_cmds='`$ECHO "$old_archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30867:lock_old_archive_extraction='`$ECHO "$lock_old_archive_extraction" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30868:CC='`$ECHO "$CC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30869:CFLAGS='`$ECHO "$CFLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30870:compiler='`$ECHO "$compiler" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30871:GCC='`$ECHO "$GCC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30872:lt_cv_sys_global_symbol_pipe='`$ECHO "$lt_cv_sys_global_symbol_pipe" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30873:lt_cv_sys_global_symbol_to_cdecl='`$ECHO "$lt_cv_sys_global_symbol_to_cdecl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30874:lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30875:lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30876:nm_file_list_spec='`$ECHO "$nm_file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30877:lt_sysroot='`$ECHO "$lt_sysroot" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30878:objdir='`$ECHO "$objdir" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30879:MAGIC_CMD='`$ECHO "$MAGIC_CMD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30880:lt_prog_compiler_no_builtin_flag='`$ECHO "$lt_prog_compiler_no_builtin_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30881:lt_prog_compiler_pic='`$ECHO "$lt_prog_compiler_pic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30882:lt_prog_compiler_wl='`$ECHO "$lt_prog_compiler_wl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30883:lt_prog_compiler_static='`$ECHO "$lt_prog_compiler_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30884:lt_cv_prog_compiler_c_o='`$ECHO "$lt_cv_prog_compiler_c_o" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30885:need_locks='`$ECHO "$need_locks" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30886:MANIFEST_TOOL='`$ECHO "$MANIFEST_TOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30887:DSYMUTIL='`$ECHO "$DSYMUTIL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30888:NMEDIT='`$ECHO "$NMEDIT" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30889:LIPO='`$ECHO "$LIPO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30890:OTOOL='`$ECHO "$OTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30891:OTOOL64='`$ECHO "$OTOOL64" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30892:libext='`$ECHO "$libext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30893:shrext_cmds='`$ECHO "$shrext_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30894:extract_expsyms_cmds='`$ECHO "$extract_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30895:archive_cmds_need_lc='`$ECHO "$archive_cmds_need_lc" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30896:enable_shared_with_static_runtimes='`$ECHO "$enable_shared_with_static_runtimes" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30897:export_dynamic_flag_spec='`$ECHO "$export_dynamic_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30898:whole_archive_flag_spec='`$ECHO "$whole_archive_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30899:compiler_needs_object='`$ECHO "$compiler_needs_object" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30900:old_archive_from_new_cmds='`$ECHO "$old_archive_from_new_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30901:old_archive_from_expsyms_cmds='`$ECHO "$old_archive_from_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30902:archive_cmds='`$ECHO "$archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30903:archive_expsym_cmds='`$ECHO "$archive_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30904:module_cmds='`$ECHO "$module_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30905:module_expsym_cmds='`$ECHO "$module_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30906:with_gnu_ld='`$ECHO "$with_gnu_ld" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30907:allow_undefined_flag='`$ECHO "$allow_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30908:no_undefined_flag='`$ECHO "$no_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30909:hardcode_libdir_flag_spec='`$ECHO "$hardcode_libdir_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30910:hardcode_libdir_separator='`$ECHO "$hardcode_libdir_separator" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30911:hardcode_direct='`$ECHO "$hardcode_direct" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30912:hardcode_direct_absolute='`$ECHO "$hardcode_direct_absolute" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30913:hardcode_minus_L='`$ECHO "$hardcode_minus_L" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30914:hardcode_shlibpath_var='`$ECHO "$hardcode_shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30915:hardcode_automatic='`$ECHO "$hardcode_automatic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30916:inherit_rpath='`$ECHO "$inherit_rpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30917:link_all_deplibs='`$ECHO "$link_all_deplibs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30918:always_export_symbols='`$ECHO "$always_export_symbols" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30919:export_symbols_cmds='`$ECHO "$export_symbols_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30920:exclude_expsyms='`$ECHO "$exclude_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30921:include_expsyms='`$ECHO "$include_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30922:prelink_cmds='`$ECHO "$prelink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30923:postlink_cmds='`$ECHO "$postlink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30924:file_list_spec='`$ECHO "$file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30925:variables_saved_for_relink='`$ECHO "$variables_saved_for_relink" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30926:need_lib_prefix='`$ECHO "$need_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30927:need_version='`$ECHO "$need_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30928:version_type='`$ECHO "$version_type" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30929:runpath_var='`$ECHO "$runpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30930:shlibpath_var='`$ECHO "$shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30931:shlibpath_overrides_runpath='`$ECHO "$shlibpath_overrides_runpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30932:libname_spec='`$ECHO "$libname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30933:library_names_spec='`$ECHO "$library_names_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30934:soname_spec='`$ECHO "$soname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30935:install_override_mode='`$ECHO "$install_override_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30936:postinstall_cmds='`$ECHO "$postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30937:postuninstall_cmds='`$ECHO "$postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30938:finish_cmds='`$ECHO "$finish_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30939:finish_eval='`$ECHO "$finish_eval" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30940:hardcode_into_libs='`$ECHO "$hardcode_into_libs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30941:sys_lib_search_path_spec='`$ECHO "$sys_lib_search_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30942:sys_lib_dlsearch_path_spec='`$ECHO "$sys_lib_dlsearch_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30943:hardcode_action='`$ECHO "$hardcode_action" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30944:enable_dlopen='`$ECHO "$enable_dlopen" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30945:enable_dlopen_self='`$ECHO "$enable_dlopen_self" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30946:enable_dlopen_self_static='`$ECHO "$enable_dlopen_self_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30947:old_striplib='`$ECHO "$old_striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30948:striplib='`$ECHO "$striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30949:compiler_lib_search_dirs='`$ECHO "$compiler_lib_search_dirs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30950:predep_objects='`$ECHO "$predep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30951:postdep_objects='`$ECHO "$postdep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30952:predeps='`$ECHO "$predeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30953:postdeps='`$ECHO "$postdeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30954:compiler_lib_search_path='`$ECHO "$compiler_lib_search_path" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30955:LD_CXX='`$ECHO "$LD_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30956:LD_F77='`$ECHO "$LD_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30957:LD_FC='`$ECHO "$LD_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30958:reload_flag_CXX='`$ECHO "$reload_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30959:reload_flag_F77='`$ECHO "$reload_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30960:reload_flag_FC='`$ECHO "$reload_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30961:reload_cmds_CXX='`$ECHO "$reload_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30962:reload_cmds_F77='`$ECHO "$reload_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30963:reload_cmds_FC='`$ECHO "$reload_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30964:old_archive_cmds_CXX='`$ECHO "$old_archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30965:old_archive_cmds_F77='`$ECHO "$old_archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30966:old_archive_cmds_FC='`$ECHO "$old_archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30967:compiler_CXX='`$ECHO "$compiler_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30968:compiler_F77='`$ECHO "$compiler_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30969:compiler_FC='`$ECHO "$compiler_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30970:GCC_CXX='`$ECHO "$GCC_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30971:GCC_F77='`$ECHO "$GCC_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30972:GCC_FC='`$ECHO "$GCC_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30973:lt_prog_compiler_no_builtin_flag_CXX='`$ECHO "$lt_prog_compiler_no_builtin_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30974:lt_prog_compiler_no_builtin_flag_F77='`$ECHO "$lt_prog_compiler_no_builtin_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30975:lt_prog_compiler_no_builtin_flag_FC='`$ECHO "$lt_prog_compiler_no_builtin_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30976:lt_prog_compiler_pic_CXX='`$ECHO "$lt_prog_compiler_pic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30977:lt_prog_compiler_pic_F77='`$ECHO "$lt_prog_compiler_pic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30978:lt_prog_compiler_pic_FC='`$ECHO "$lt_prog_compiler_pic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30979:lt_prog_compiler_wl_CXX='`$ECHO "$lt_prog_compiler_wl_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30980:lt_prog_compiler_wl_F77='`$ECHO "$lt_prog_compiler_wl_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30981:lt_prog_compiler_wl_FC='`$ECHO "$lt_prog_compiler_wl_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30982:lt_prog_compiler_static_CXX='`$ECHO "$lt_prog_compiler_static_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30983:lt_prog_compiler_static_F77='`$ECHO "$lt_prog_compiler_static_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30984:lt_prog_compiler_static_FC='`$ECHO "$lt_prog_compiler_static_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30985:lt_cv_prog_compiler_c_o_CXX='`$ECHO "$lt_cv_prog_compiler_c_o_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30986:lt_cv_prog_compiler_c_o_F77='`$ECHO "$lt_cv_prog_compiler_c_o_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30987:lt_cv_prog_compiler_c_o_FC='`$ECHO "$lt_cv_prog_compiler_c_o_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30988:archive_cmds_need_lc_CXX='`$ECHO "$archive_cmds_need_lc_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30989:archive_cmds_need_lc_F77='`$ECHO "$archive_cmds_need_lc_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30990:archive_cmds_need_lc_FC='`$ECHO "$archive_cmds_need_lc_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30991:enable_shared_with_static_runtimes_CXX='`$ECHO "$enable_shared_with_static_runtimes_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30992:enable_shared_with_static_runtimes_F77='`$ECHO "$enable_shared_with_static_runtimes_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30993:enable_shared_with_static_runtimes_FC='`$ECHO "$enable_shared_with_static_runtimes_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30994:export_dynamic_flag_spec_CXX='`$ECHO "$export_dynamic_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30995:export_dynamic_flag_spec_F77='`$ECHO "$export_dynamic_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30996:export_dynamic_flag_spec_FC='`$ECHO "$export_dynamic_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30997:whole_archive_flag_spec_CXX='`$ECHO "$whole_archive_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30998:whole_archive_flag_spec_F77='`$ECHO "$whole_archive_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:30999:whole_archive_flag_spec_FC='`$ECHO "$whole_archive_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31000:compiler_needs_object_CXX='`$ECHO "$compiler_needs_object_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31001:compiler_needs_object_F77='`$ECHO "$compiler_needs_object_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31002:compiler_needs_object_FC='`$ECHO "$compiler_needs_object_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31003:old_archive_from_new_cmds_CXX='`$ECHO "$old_archive_from_new_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31004:old_archive_from_new_cmds_F77='`$ECHO "$old_archive_from_new_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31005:old_archive_from_new_cmds_FC='`$ECHO "$old_archive_from_new_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31006:old_archive_from_expsyms_cmds_CXX='`$ECHO "$old_archive_from_expsyms_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31007:old_archive_from_expsyms_cmds_F77='`$ECHO "$old_archive_from_expsyms_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31008:old_archive_from_expsyms_cmds_FC='`$ECHO "$old_archive_from_expsyms_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31009:archive_cmds_CXX='`$ECHO "$archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31010:archive_cmds_F77='`$ECHO "$archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31011:archive_cmds_FC='`$ECHO "$archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31012:archive_expsym_cmds_CXX='`$ECHO "$archive_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31013:archive_expsym_cmds_F77='`$ECHO "$archive_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31014:archive_expsym_cmds_FC='`$ECHO "$archive_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31015:module_cmds_CXX='`$ECHO "$module_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31016:module_cmds_F77='`$ECHO "$module_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31017:module_cmds_FC='`$ECHO "$module_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31018:module_expsym_cmds_CXX='`$ECHO "$module_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31019:module_expsym_cmds_F77='`$ECHO "$module_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31020:module_expsym_cmds_FC='`$ECHO "$module_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31021:with_gnu_ld_CXX='`$ECHO "$with_gnu_ld_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31022:with_gnu_ld_F77='`$ECHO "$with_gnu_ld_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31023:with_gnu_ld_FC='`$ECHO "$with_gnu_ld_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31024:allow_undefined_flag_CXX='`$ECHO "$allow_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31025:allow_undefined_flag_F77='`$ECHO "$allow_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31026:allow_undefined_flag_FC='`$ECHO "$allow_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31027:no_undefined_flag_CXX='`$ECHO "$no_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31028:no_undefined_flag_F77='`$ECHO "$no_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31029:no_undefined_flag_FC='`$ECHO "$no_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31030:hardcode_libdir_flag_spec_CXX='`$ECHO "$hardcode_libdir_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31031:hardcode_libdir_flag_spec_F77='`$ECHO "$hardcode_libdir_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31032:hardcode_libdir_flag_spec_FC='`$ECHO "$hardcode_libdir_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31033:hardcode_libdir_separator_CXX='`$ECHO "$hardcode_libdir_separator_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31034:hardcode_libdir_separator_F77='`$ECHO "$hardcode_libdir_separator_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31035:hardcode_libdir_separator_FC='`$ECHO "$hardcode_libdir_separator_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31036:hardcode_direct_CXX='`$ECHO "$hardcode_direct_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31037:hardcode_direct_F77='`$ECHO "$hardcode_direct_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31038:hardcode_direct_FC='`$ECHO "$hardcode_direct_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31039:hardcode_direct_absolute_CXX='`$ECHO "$hardcode_direct_absolute_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31040:hardcode_direct_absolute_F77='`$ECHO "$hardcode_direct_absolute_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31041:hardcode_direct_absolute_FC='`$ECHO "$hardcode_direct_absolute_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31042:hardcode_minus_L_CXX='`$ECHO "$hardcode_minus_L_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31043:hardcode_minus_L_F77='`$ECHO "$hardcode_minus_L_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31044:hardcode_minus_L_FC='`$ECHO "$hardcode_minus_L_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31045:hardcode_shlibpath_var_CXX='`$ECHO "$hardcode_shlibpath_var_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31046:hardcode_shlibpath_var_F77='`$ECHO "$hardcode_shlibpath_var_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31047:hardcode_shlibpath_var_FC='`$ECHO "$hardcode_shlibpath_var_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31048:hardcode_automatic_CXX='`$ECHO "$hardcode_automatic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31049:hardcode_automatic_F77='`$ECHO "$hardcode_automatic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31050:hardcode_automatic_FC='`$ECHO "$hardcode_automatic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31051:inherit_rpath_CXX='`$ECHO "$inherit_rpath_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31052:inherit_rpath_F77='`$ECHO "$inherit_rpath_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31053:inherit_rpath_FC='`$ECHO "$inherit_rpath_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31054:link_all_deplibs_CXX='`$ECHO "$link_all_deplibs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31055:link_all_deplibs_F77='`$ECHO "$link_all_deplibs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31056:link_all_deplibs_FC='`$ECHO "$link_all_deplibs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31057:always_export_symbols_CXX='`$ECHO "$always_export_symbols_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31058:always_export_symbols_F77='`$ECHO "$always_export_symbols_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31059:always_export_symbols_FC='`$ECHO "$always_export_symbols_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31060:export_symbols_cmds_CXX='`$ECHO "$export_symbols_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31061:export_symbols_cmds_F77='`$ECHO "$export_symbols_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31062:export_symbols_cmds_FC='`$ECHO "$export_symbols_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31063:exclude_expsyms_CXX='`$ECHO "$exclude_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31064:exclude_expsyms_F77='`$ECHO "$exclude_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31065:exclude_expsyms_FC='`$ECHO "$exclude_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31066:include_expsyms_CXX='`$ECHO "$include_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31067:include_expsyms_F77='`$ECHO "$include_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31068:include_expsyms_FC='`$ECHO "$include_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31069:prelink_cmds_CXX='`$ECHO "$prelink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31070:prelink_cmds_F77='`$ECHO "$prelink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31071:prelink_cmds_FC='`$ECHO "$prelink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31072:postlink_cmds_CXX='`$ECHO "$postlink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31073:postlink_cmds_F77='`$ECHO "$postlink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31074:postlink_cmds_FC='`$ECHO "$postlink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31075:file_list_spec_CXX='`$ECHO "$file_list_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31076:file_list_spec_F77='`$ECHO "$file_list_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31077:file_list_spec_FC='`$ECHO "$file_list_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31078:hardcode_action_CXX='`$ECHO "$hardcode_action_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31079:hardcode_action_F77='`$ECHO "$hardcode_action_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31080:hardcode_action_FC='`$ECHO "$hardcode_action_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31081:compiler_lib_search_dirs_CXX='`$ECHO "$compiler_lib_search_dirs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31082:compiler_lib_search_dirs_F77='`$ECHO "$compiler_lib_search_dirs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31083:compiler_lib_search_dirs_FC='`$ECHO "$compiler_lib_search_dirs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31084:predep_objects_CXX='`$ECHO "$predep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31085:predep_objects_F77='`$ECHO "$predep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31086:predep_objects_FC='`$ECHO "$predep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31087:postdep_objects_CXX='`$ECHO "$postdep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31088:postdep_objects_F77='`$ECHO "$postdep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31089:postdep_objects_FC='`$ECHO "$postdep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31090:predeps_CXX='`$ECHO "$predeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31091:predeps_F77='`$ECHO "$predeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31092:predeps_FC='`$ECHO "$predeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31093:postdeps_CXX='`$ECHO "$postdeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31094:postdeps_F77='`$ECHO "$postdeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31095:postdeps_FC='`$ECHO "$postdeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31096:compiler_lib_search_path_CXX='`$ECHO "$compiler_lib_search_path_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31097:compiler_lib_search_path_F77='`$ECHO "$compiler_lib_search_path_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure:31098:compiler_lib_search_path_FC='`$ECHO "$compiler_lib_search_path_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/python3.patch/configure-31099-
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31259-compiler_lib_search_path_FC; do
v-sim-3.7.2/.pc/python3.patch/configure:31260:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/.pc/python3.patch/configure-31261-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/.pc/python3.patch/configure:31262:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
v-sim-3.7.2/.pc/python3.patch/configure-31263-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31322-postlink_cmds_FC; do
v-sim-3.7.2/.pc/python3.patch/configure:31323:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/.pc/python3.patch/configure-31324-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/.pc/python3.patch/configure:31325:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
v-sim-3.7.2/.pc/python3.patch/configure-31326-      ;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31451-fi
v-sim-3.7.2/.pc/python3.patch/configure:31452:ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
v-sim-3.7.2/.pc/python3.patch/configure-31453-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31468-  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
v-sim-3.7.2/.pc/python3.patch/configure:31469:ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
v-sim-3.7.2/.pc/python3.patch/configure-31470-ac_delim='%!_!# '
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31474-
v-sim-3.7.2/.pc/python3.patch/configure:31475:  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
v-sim-3.7.2/.pc/python3.patch/configure-31476-  if test $ac_delim_n = $ac_delim_num; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31612-for ac_last_try in false false :; do
v-sim-3.7.2/.pc/python3.patch/configure:31613:  ac_tt=`sed -n "/$ac_delim/p" confdefs.h`
v-sim-3.7.2/.pc/python3.patch/configure-31614-  if test -z "$ac_tt"; then
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31744-      esac
v-sim-3.7.2/.pc/python3.patch/configure:31745:      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
v-sim-3.7.2/.pc/python3.patch/configure-31746-      as_fn_append ac_file_inputs " '$ac_f'"
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31803-*)
v-sim-3.7.2/.pc/python3.patch/configure:31804:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/.pc/python3.patch/configure-31805-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/.pc/python3.patch/configure:31806:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/.pc/python3.patch/configure-31807-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31864-/@mandir@/p'
v-sim-3.7.2/.pc/python3.patch/configure:31865:case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
v-sim-3.7.2/.pc/python3.patch/configure-31866-*datarootdir*) ac_datarootdir_seen=yes;;
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31881-
v-sim-3.7.2/.pc/python3.patch/configure:31882:# Neutralize VPATH when `$srcdir' = `.'.
v-sim-3.7.2/.pc/python3.patch/configure-31883-# Shell code in configure.ac might set extrasub.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31909-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
v-sim-3.7.2/.pc/python3.patch/configure:31910:  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
v-sim-3.7.2/.pc/python3.patch/configure-31911-  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' \
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-31955-    * )
v-sim-3.7.2/.pc/python3.patch/configure:31956:      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
v-sim-3.7.2/.pc/python3.patch/configure-31957-  esac
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-32002-    # Strip MF so we end up with the name of the file.
v-sim-3.7.2/.pc/python3.patch/configure:32003:    mf=`echo "$mf" | sed -e 's/:.*$//'`
v-sim-3.7.2/.pc/python3.patch/configure-32004-    # Check whether this is an Automake generated Makefile or not.
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-32039-    # from the Makefile without running `make'.
v-sim-3.7.2/.pc/python3.patch/configure:32040:    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
v-sim-3.7.2/.pc/python3.patch/configure-32041-    test -z "$DEPDIR" && continue
v-sim-3.7.2/.pc/python3.patch/configure:32042:    am__include=`sed -n 's/^am__include = //p' < "$mf"`
v-sim-3.7.2/.pc/python3.patch/configure-32043-    test -z "am__include" && continue
v-sim-3.7.2/.pc/python3.patch/configure:32044:    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
v-sim-3.7.2/.pc/python3.patch/configure-32045-    # When using ansi2knr, U may be empty or an underscore; expand it
v-sim-3.7.2/.pc/python3.patch/configure:32046:    U=`sed -n 's/^U = //p' < "$mf"`
v-sim-3.7.2/.pc/python3.patch/configure-32047-    # Find all dependency output files, they are included files with
##############################################
v-sim-3.7.2/.pc/python3.patch/configure-32100-
v-sim-3.7.2/.pc/python3.patch/configure:32101:# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
v-sim-3.7.2/.pc/python3.patch/configure-32102-# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-47-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:48:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-49-  as_echo='print -r --'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-50-  as_echo_n='print -rn --'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:51:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-52-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-54-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:55:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-56-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-64-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:65:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-66-      esac;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-175-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:176:  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-177-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-182-    PATH=/empty FPATH=/empty; export PATH FPATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:183:    test \"X\`printf %s \$ECHO\`\" = \"X\$ECHO\" \\
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:184:      || test \"X\`print -r -- \$ECHO\`\" = \"X\$ECHO\" ) || exit 1
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-185-test \$(( 1 + 1 )) = 2 || exit 1"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-307-      case $as_dir in #(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:308:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-309-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-372-  {
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:373:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-374-  }
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-379-# ----------------------------------------
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:380:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-381-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-442-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:443:  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-444-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-533-	esac;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:534:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-535-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1076-  case $ac_option in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1077:  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1078-  *=)   ac_optarg= ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1120-  -disable-* | --disable-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1121:    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1122-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1125-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1126:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1127-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1146-  -enable-* | --enable-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1147:    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1148-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1151-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1152:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1153-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1350-  -with-* | --with-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1351:    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1352-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1355-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1356:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1357-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1366-  -without-* | --without-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1367:    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1368-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1371-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1372:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1373-    case $ac_user_opts in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1404-  *=*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1405:    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1406-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1425-if test -n "$ac_prev"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1426:  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1427-  as_fn_error $? "missing argument to $ac_option"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1447-    */ )
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1448:      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1449-      eval $ac_var=\$ac_val;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1484-ac_ls_di=`ls -di .` &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1485:ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1486-  as_fn_error $? "working directory cannot be determined"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1540-case $srcdir in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1541:*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1542-esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1583-By default, \`make install' will install all the files in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1584:\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1585:an installation prefix other than \`$ac_default_prefix' using \`--prefix',
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1586-for instance \`--prefix=\$HOME'.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1796-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1797:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1798-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:1799:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-1800-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2573-    *\'*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2574:      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2575-    esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2656-      case $ac_val in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2657:      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2658-      esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2671-	case $ac_val in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2672:	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2673-	esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2791-    set,)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2792:      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2793:$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2794-      ac_cache_corrupted=: ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2802-	# differences in whitespace do not lead to failure.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2803:	ac_old_val_w=`echo x $ac_old_val`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2804:	ac_new_val_w=`echo x $ac_new_val`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2805-	if test "$ac_old_val_w" != "$ac_new_val_w"; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2822-    case $ac_new_val in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:2823:    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-2824-    *) ac_arg=$ac_var=$ac_new_val ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3015-if (
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3016:   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3017-   if test "$*" = "X"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3018-      # -L didn't work.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3019:      set X `ls -t "$srcdir/configure" conftest.file`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3020-   fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3051-ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3052:program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3053-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3054-# expand $ac_aux_dir to an absolute path
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3055:am_aux_dir=`cd $ac_aux_dir && pwd`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3056-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3196-	   { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3197:	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3198-	     'mkdir (GNU coreutils) '* | \
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3275-set x ${MAKE-make}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3276:ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3277-if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3285-# GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3286:case `${MAKE-make} -f conftest.make 2>/dev/null` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3287-  *@@@%%%=?*=@@@%%%*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3312-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3313:if test "`cd $srcdir && pwd`" != "`pwd`"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3314-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3739-$as_echo_n "checking whether the C compiler works... " >&6; }
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3740:ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3741-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3783-	then :; else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3784:	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3785-	fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3843-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3844:    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3845-	  break;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3952-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:3953:    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-3954-       break;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4202-# Ignore all kinds of additional output from `make'.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:4203:case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4204-*the\ am__doit\ target*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4212-   echo '.include "confinc"' > confmf
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:4213:   case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4214-   *the\ am__doit\ target*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4275-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:4276:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4277-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4660-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:4661:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-4662-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5289-test "x$ac_build_alias" = x &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5290:  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5291-test "x$ac_build_alias" = x &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5292-  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5293:ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5294-  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5313-IFS=$ac_save_IFS
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5314:case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5315-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5324-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5325:  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5326-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5346-IFS=$ac_save_IFS
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5347:case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5348-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5702-if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5703:   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5704-  ECHO='print -r --'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5705:elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5706-  ECHO='printf %s\n'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5771-  # Check for GNU $ac_path_SED
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5772:case `"$ac_path_SED" --version 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5773-*GNU*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5847-  # Check for GNU $ac_path_GREP
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5848:case `"$ac_path_GREP" --version 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5849-*GNU*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5913-  # Check for GNU $ac_path_EGREP
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5914:case `"$ac_path_EGREP" --version 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5915-*GNU*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5980-  # Check for GNU $ac_path_FGREP
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:5981:case `"$ac_path_FGREP" --version 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-5982-*GNU*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6060-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6061:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6062-  *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6063:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6064-  esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6069-      # Canonicalize the pathname of ld
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6070:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6071-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6072:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6073-      done
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6104-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6105:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6106-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6135-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6136:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6137-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6180-	# Tru64's nm complains that /dev/null is an invalid object file
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6181:	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6182-	*/dev/null* | *'Invalid file or object type'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6186-	*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6187:	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6188-	  */dev/null*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6314-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6315:    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6316-    *COFF*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6426-    # And add a safety zone
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6427:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6428:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6429-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6459-    if test -n "$kargmax"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6460:      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[	 ]//'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6461-    else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6467-    if test -n "$lt_cv_sys_max_cmd_len"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6468:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6469:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6470-    else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6479-      # we can't tell.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6480:      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6481-	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6483-      do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6484:        i=`expr $i + 1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6485-        teststring=$teststring$teststring
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6487-      # Only check the string length outside the loop.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6488:      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6489-      teststring=
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6492-      # linker.  It appears as though 1/2 is a usable value.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6493:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6494-    fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6929-openbsd*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6930:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6931-    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6996-    else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:6997:      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[\1]\/[\1]\/g;/g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-6998-    fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7138-  # decide which to use based on capabilities of $DLLTOOL
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7139:  case `$DLLTOOL --help 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7140-  *--identify-strict*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7660-# If we're using GNU nm, then use its standard symbol codes.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7661:case `$NM -V 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7662-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7678-mingw*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7679:  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7680-  ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7897-   if test "$GCC" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7898:     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7899-   fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7901- /*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7902:   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7903-   ;; #(
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7937-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7938:    case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7939-      *ELF-32*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7957-    if test "$lt_cv_prog_gnu_ld" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7958:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7959-	*32-bit*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7969-    else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:7970:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-7971-	*32-bit*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9123-do :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9124:  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9125-ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9128-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9129:#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9130-_ACEOF
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9155-  case ${2} in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9156:  .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9157:  *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9158-  esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9425-done
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9426:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9427-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9454-	"file_magic "*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9455:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9456-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9520-	"file_magic "*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9521:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9522-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9887-      *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:9888:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-9889-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10247-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10248:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10249:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10250-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10336-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10337:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10338-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10368-    supports_anon_versioning=no
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10369:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10370-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10437-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10438:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10439-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10465-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10466:      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10467:      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10468-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10483-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10484:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10485-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10488-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10489:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10490-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10503-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10504:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10505-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10507-	esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10508:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10509-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10510:	  whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10511-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10575-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10576:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10577-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10698-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10699:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10700-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10772-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10773:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10774-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10775-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10776:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10777-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10790-        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10791:        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10792-      else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10825-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10826:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10827-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10828-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10829:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10830-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10898-	archive_cmds='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10899:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10900-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10935-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10936:	archive_cmds='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10937-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10953-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:10954:    whole_archive_flag_spec='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-10955-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11133-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11134:	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11135-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11161-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11162:          archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11163-	fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11164-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11165:	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11166:	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11167-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11201-	hardcode_direct_absolute=yes
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11202:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11203-	  archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11234-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11235:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11236-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11237-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11238:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11239-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11247-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11248:	archive_cmds='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11249-	hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11251-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11252:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11253:	archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11254:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11255-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11270-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11271:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11272-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11669-  esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11670:  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11671-  case $lt_search_path_spec in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11676-    # okay in the real world where ";" in dirpaths is itself problematic.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11677:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11678-    ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11679-  *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11680:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11681-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11685-  lt_tmp_lt_search_path_spec=
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11686:  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11687-  for lt_sys_path in $lt_search_path_spec; do
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11720-  esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11721:  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11722-else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11808-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11809:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11810-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11844-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11845:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11846:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11847:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11848-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11853-      fi'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11854:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11855-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11861-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11862:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11863-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11867-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11868:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11869-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11871-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11872:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11873-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11880-    libname_spec='$name'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11881:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11882-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11892-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11893:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11894-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11897-      # Convert to MSYS style.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11898:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11899-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11903-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11904:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11905:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11906:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11907-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11911-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11912:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11913-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11914:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11915-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11921-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11922:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11923:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11924:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11925-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11926-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11927:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11928-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11935-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11936:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11937-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11952-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:11953:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-11954-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-12189-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:12190:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-12191-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-12263-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:12264:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-12265-    case $host_os in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13412-done
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13413:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13414-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13444-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13445:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13446-  *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13447:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13448-  esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13453-      # Canonicalize the pathname of ld
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13454:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13455-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13456:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13457-      done
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13488-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13489:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13490-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13519-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13520:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13521-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13553-        # ancient GNU ld didn't support --whole-archive et. al.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13554:        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13555-	  $GREP 'no-whole-archive' > /dev/null; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13637-          # below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13638:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13639-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13710-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13711:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13712-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13713-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13714:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13715-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13729-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13730:          archive_expsym_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13731-        else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13764-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13765:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13766-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13767-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13768:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13769-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13837-	  archive_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13838:	  archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13839-	      $SED -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13878-	    # is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13879:	    archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13880-	      cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13899-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13900:    whole_archive_flag_spec_CXX='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13901-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13993-            # dependencies.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:13994:            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-13995-            ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14058-	    # dependencies.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14059:	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14060-	    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14094-	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14095:	archive_cmds_CXX='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14096:	archive_expsym_cmds_CXX='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14097-	;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14101-	    # SGI C++
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14102:	    archive_cmds_CXX='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14103-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14112-	      if test "$with_gnu_ld" = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14113:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14114-	      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14115:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14116-	      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14133-	    # to its proper name (with version) after linking.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14134:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14135:	    archive_expsym_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14136-	    # Commands to make compiler produce verbose output that lists
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14143-	    # dependencies.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14144:	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14145-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14158-	    # earlier do not add the objects themselves.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14159:	    case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14160-	      *"Version 7."*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14179-            # Portland Group C++ compiler
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14180:	    case `$CC -V` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14181-	    *pgCC\ [1-5].* | *pgcpp\ [1-5].*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14184-		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14185:		compile_command="$compile_command `find $tpldir -name \*.o | sort | $NL2SP`"'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14186-	      old_archive_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14188-		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14189:		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | sort | $NL2SP`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14190-		$RANLIB $oldlib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14193-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14194:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14195-	      archive_expsym_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14197-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14198:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14199-	      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14207-	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14208:	    whole_archive_flag_spec_CXX='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14209-            ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14226-	    # dependencies.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14227:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "X$list" | $Xsed'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14228-	    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14241-	  *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14242:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14243-	    *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14248-	      hardcode_libdir_flag_spec_CXX='-R$libdir'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14249:	      whole_archive_flag_spec_CXX='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14250-	      compiler_needs_object_CXX=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14318-	  hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14319:	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14320-	    archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14337-	    # to its proper name (with version) after linking.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14338:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14339-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14358-	        allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14359:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && func_echo_all "${wl}-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14360-	        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14363-	        allow_undefined_flag_CXX=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14364:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14365:	        archive_expsym_cmds_CXX='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14366-	          echo "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14367:	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14368-	          $RM $lib.exp'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14382-	    # dependencies.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14383:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14384-	    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14389-	        osf3*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14390:	          archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14391-		  ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14392-	        *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14393:	          archive_cmds_CXX='$CC -shared $pic_flag -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14394-		  ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14636-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14637:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14638-    case ${prev}${p} in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14733-linux*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14734:  case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14735-  *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14784-if test -n "${compiler_lib_search_path_CXX}"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:14785: compiler_lib_search_dirs_CXX=`echo " ${compiler_lib_search_path_CXX}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-14786-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15025-	  *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15026:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15027-	    *Sun\ C*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15379-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15380:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15381:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15382-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15656-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15657:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15658-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15692-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15693:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15694:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15695:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15696-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15701-      fi'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15702:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15703-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15709-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15710:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15711-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15714-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15715:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15716-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15718-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15719:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15720-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15727-    libname_spec='$name'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15728:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15729-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15739-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15740:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15741-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15744-      # Convert to MSYS style.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15745:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15746-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15750-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15751:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15752:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15753:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15754-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15758-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15759:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15760-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15761:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15762-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15768-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15769:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15770:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15771:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15772-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15773-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15774:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15775-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15782-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15783:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15784-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15799-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:15800:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-15801-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16035-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:16036:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16037-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16109-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:16110:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16111-    case $host_os in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16470-done
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:16471:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16472-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16711-      *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:16712:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-16713-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17059-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17060:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17061:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17062-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17145-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17146:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17147-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17177-    supports_anon_versioning=no
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17178:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17179-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17246-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17247:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17248-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17274-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17275:      archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17276:      archive_expsym_cmds_F77='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17277-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17292-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17293:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17294-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17297-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17298:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17299-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17312-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17313:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17314-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17316-	esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17317:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17318-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17319:	  whole_archive_flag_spec_F77='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17320-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17384-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17385:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17386-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17507-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17508:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17509-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17575-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17576:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17577-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17578-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17579:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17580-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17593-        hardcode_libdir_flag_spec_F77='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17594:        archive_expsym_cmds_F77='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17595-      else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17622-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17623:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17624-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17625-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17626:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17627-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17695-	archive_cmds_F77='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17696:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17697-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17732-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17733:	archive_cmds_F77='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17734-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17750-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17751:    whole_archive_flag_spec_F77='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17752-    compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17891-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17892:	archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17893-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17920-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17921:          archive_expsym_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17922-	fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17923-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17924:	archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17925:	archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17926-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17960-	hardcode_direct_absolute_F77=yes
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17961:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17962-	  archive_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17993-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17994:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17995-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17996-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:17997:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-17998-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18006-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18007:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18008-	hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18010-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18011:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18012:	archive_expsym_cmds_F77='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18013:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18014-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18029-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18030:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18031-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18404-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18405:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18406-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18440-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18441:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18442:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18443:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18444-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18449-      fi'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18450:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18451-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18457-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18458:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18459-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18462-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18463:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18464-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18466-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18467:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18468-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18475-    libname_spec='$name'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18476:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18477-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18487-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18488:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18489-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18492-      # Convert to MSYS style.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18493:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18494-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18498-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18499:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18500:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18501:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18502-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18506-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18507:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18508-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18509:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18510-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18516-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18517:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18518:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18519:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18520-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18521-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18522:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18523-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18530-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18531:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18532-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18547-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18548:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18549-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18777-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18778:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18779-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18851-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:18852:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-18853-    case $host_os in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19208-done
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19209:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19210-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19290-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19291:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19292-    case ${prev}${p} in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19384-if test -n "${compiler_lib_search_path_FC}"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19385: compiler_lib_search_dirs_FC=`echo " ${compiler_lib_search_path_FC}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19386-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19594-      *)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19595:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19596-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19942-  if test "$hard_links" = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19943:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:19944:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-19945-    need_locks=warn
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20028-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20029:	case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20030-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20060-    supports_anon_versioning=no
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20061:    case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20062-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20129-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20130:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20131-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20157-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20158:      archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20159:      archive_expsym_cmds_FC='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20160-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20175-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20176:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20177-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20180-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20181:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20182-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20195-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20196:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20197-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20199-	esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20200:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20201-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20202:	  whole_archive_flag_spec_FC='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20203-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20267-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20268:      case `$LD -v 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20269-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20390-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20391:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20392-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20458-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20459:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20460-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20461-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20462:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20463-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20476-        hardcode_libdir_flag_spec_FC='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20477:        archive_expsym_cmds_FC='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20478-      else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20505-      }'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20506:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20507-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20508-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20509:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20510-  fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20578-	archive_cmds_FC='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20579:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20580-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20615-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20616:	archive_cmds_FC='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20617-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20633-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20634:    whole_archive_flag_spec_FC='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20635-    compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20774-      if test "$GCC" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20775:	archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20776-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20803-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20804:          archive_expsym_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20805-	fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20806-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20807:	archive_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20808:	archive_expsym_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20809-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20843-	hardcode_direct_absolute_FC=yes
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20844:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20845-	  archive_cmds_FC='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20876-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20877:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20878-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20879-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20880:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20881-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20889-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20890:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20891-	hardcode_libdir_flag_spec_FC='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20893-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20894:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20895:	archive_expsym_cmds_FC='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20896:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20897-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20912-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:20913:	case `$CC -V 2>&1` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-20914-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21287-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21288:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21289-    ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21323-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21324:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21325:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21326:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21327-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21332-      fi'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21333:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21334-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21340-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21341:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21342-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21345-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21346:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21347-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21349-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21350:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21351-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21358-    libname_spec='$name'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21359:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21360-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21370-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21371:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21372-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21375-      # Convert to MSYS style.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21376:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21377-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21381-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21382:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21383:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21384:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21385-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21389-        # It is most probably a Windows format PATH.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21390:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21391-      else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21392:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21393-      fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21399-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21400:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21401:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21402:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21403-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21404-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21405:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21406-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21413-    # Assume MSVC wrapper
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21414:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21415-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21430-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21431:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21432-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21660-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21661:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21662-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21734-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:21735:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-21736-    case $host_os in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22141-    for ac_var in incroot usrlibdir libdir; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22142:      eval "ac_im_$ac_var=\`\${MAKE-make} $ac_var 2>/dev/null | sed -n 's/^$ac_var=//p'\`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22143-    done
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22251-  LIBS=$ac_save_LIBS
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22252:for ac_dir in `$as_echo "$ac_x_includes $ac_x_header_dirs" | sed s/include/lib/g`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22253-do
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22399-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22400:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22401-    else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22468-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22469:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22470-    else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22685-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22686:  pkg_cv_FTGL_CFLAGS=`$PKG_CONFIG --cflags "ftgl" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22687-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22702-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22703:  pkg_cv_FTGL_LIBS=`$PKG_CONFIG --libs "ftgl" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22704-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22723-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22724:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22725-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22726:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22727-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22767-do :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22768:  as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22769-ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22771-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22772:#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22773-_ACEOF
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22811-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22812:INTLTOOL_REQUIRED_VERSION_AS_INT=`echo 0.35.0 | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22813-INTLTOOL_APPLIED_VERSION=`intltool-update --version | head -1 | cut -d" " -f3`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:22814:INTLTOOL_APPLIED_VERSION_AS_INT=`echo $INTLTOOL_APPLIED_VERSION | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-22815-if test -n "0.35.0"; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23251-fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23252:xgversion="`$XGETTEXT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23253:mmversion="`$MSGMERGE --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23254:mfversion="`$MSGFMT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23255-if test -z "$xgversion" -o -z "$mmversion" -o -z "$mfversion"; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23307-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23308:   IT_PERL_VERSION=`$INTLTOOL_PERL -e "printf '%vd', $^V"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23309-   { $as_echo "$as_me:${as_lineno-$LINENO}: result: $IT_PERL_VERSION" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23314-$as_echo_n "checking for XML::Parser... " >&6; }
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23315:   if `$INTLTOOL_PERL -e "require XML::Parser" 2>/dev/null`; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23316-       { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23793-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23794:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep 'dv '`"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23795-	ac_cv_path_MSGFMT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23912-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:23913:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep '(HELP)'`"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-23914-	ac_cv_path_XGETTEXT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24071-   if test "x$srcdir" != "x."; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24072:     if test "x`echo $srcdir | sed 's@/.*@@'`" = "x"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24073-       posrcprefix="$srcdir/"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24103-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24104:  pkg_cv_GLIB_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24105-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24120-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24121:  pkg_cv_GLIB_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24122-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24141-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24142:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24143-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24144:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24145-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24194-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24195:  pkg_cv_CAIRO_CFLAGS=`$PKG_CONFIG --cflags "cairo" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24196-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24211-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24212:  pkg_cv_CAIRO_LIBS=`$PKG_CONFIG --libs "cairo" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24213-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24232-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24233:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24234-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24235:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24236-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24289-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24290:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24291-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24306-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24307:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24308-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24327-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24328:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24329-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24330:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24331-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24410-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24411:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24412-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24427-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24428:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24429-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24448-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24449:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24450-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24451:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24452-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24723-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24724:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24725-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24742-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24743:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24744-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24762-     fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24763:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24764-     case $am_cv_python_pythondir in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24765-     $am_py_prefix*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24766:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24767:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24768-       ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24799-     fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24800:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24801-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24802-     $am_py_exec_prefix*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24803:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24804:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24805-       ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24832-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24833:py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24834:py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24835-if test -x "$PYTHON-config"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24836:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24837-else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24861-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24862:  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24863-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24878-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24879:  pkg_cv_PYGTK_CFLAGS=`$PKG_CONFIG --cflags "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24880-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24895-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24896:  pkg_cv_PYGTK_LIBS=`$PKG_CONFIG --libs "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24897-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24916-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24917:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24918-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:24919:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-24920-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25148-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25149:  pkg_cv_GTKDOC_DEPS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25150-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25165-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25166:  pkg_cv_GTKDOC_DEPS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25167-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25186-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25187:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25188-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25189:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25190-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25378-      LDFLAGS="$LDFLAGS $NC_LDFLAGS"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25379:      as_ac_Lib=`$as_echo "ac_cv_lib_netcdf_$ac_check_nc_func_checked" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25380-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_check_nc_func_checked in -lnetcdf" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25839-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25840:  pkg_cv_OPENBABEL_CFLAGS=`$PKG_CONFIG --cflags "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25841-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25856-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25857:  pkg_cv_OPENBABEL_LIBS=`$PKG_CONFIG --libs "openbabel-2.0 >= 2.0.0" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25858-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25877-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25878:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25879-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:25880:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "openbabel-2.0 >= 2.0.0" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-25881-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26017-  *xlfentry*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26018:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26019-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26022-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26023:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26024-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26035-  *cft90*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26036:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26037-esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26108-  *xlfentry*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26109:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26110-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26113-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26114:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26115-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26126-  *cft90*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26127:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26128-esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26198-	-YP,*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26199:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26200-	      ac_exists=false
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26659-        save_LIBS="$LIBS"; LIBS="$LIBS"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26660:        as_ac_var=`$as_echo "ac_cv_func_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26661-ac_fn_c_check_func "$LINENO" "$sgemm" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26715-if test "x$ac_cv_lib_atlas_ATL_xerbla" = xyes; then :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26716:  as_ac_Lib=`$as_echo "ac_cv_lib_f77blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26717-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lf77blas" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26819-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26820:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26821-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26866-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26867:  as_ac_Lib=`$as_echo "ac_cv_lib_dgemm_$dgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26868-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $dgemm in -ldgemm" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26913-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26914:  as_ac_Lib=`$as_echo "ac_cv_lib_sgemm_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26915-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsgemm" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26971-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:26972:        as_ac_Lib=`$as_echo "ac_cv_lib_cxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-26973-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcxml" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27025-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27026:        as_ac_Lib=`$as_echo "ac_cv_lib_dxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27027-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -ldxml" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27125-if test "x$ac_cv_lib_sunmath_acosp" = xyes; then :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27126:  as_ac_Lib=`$as_echo "ac_cv_lib_sunperf_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27127-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsunperf" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27183-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27184:        as_ac_Lib=`$as_echo "ac_cv_lib_scs_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27185-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lscs" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27237-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27238:        as_ac_Lib=`$as_echo "ac_cv_lib_complib.sgimath_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27239-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcomplib.sgimath" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27291-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27292:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27293-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27338-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27339:  as_ac_Lib=`$as_echo "ac_cv_lib_essl_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27340-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lessl" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27394-if test $acx_blas_ok = no; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27395:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27396-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27553-        save_LIBS="$LIBS"; LIBS="$LIBS $BLAS_LIBS $FLIBS"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27554:        as_ac_var=`$as_echo "ac_cv_func_$cheev" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27555-ac_fn_c_check_func "$LINENO" "$cheev" "$as_ac_var"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27566-                save_LIBS="$LIBS"; LIBS="$BLAS_LIBS $LIBS"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:27567:                as_ac_Lib=`$as_echo "ac_cv_lib_$lapack''_$cheev" | $as_tr_sh`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-27568-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $cheev in -l$lapack" >&5
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28001-  *xlfentry*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28002:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28003-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28006-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28007:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28008-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28019-  *cft90*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28020:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28021-esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28092-  *xlfentry*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28093:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28094-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28097-  *mGLOB_options_string*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28098:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28099-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28110-  *cft90*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28111:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28112-esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28182-	-YP,*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:28183:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-28184-	      ac_exists=false
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29075-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29076:  pkg_cv_LIB_ARCHIVE_CFLAGS=`$PKG_CONFIG --cflags "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29077-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29092-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29093:  pkg_cv_LIB_ARCHIVE_LIBS=`$PKG_CONFIG --libs "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29094-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29113-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29114:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29115-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29116:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29117-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29210-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29211:  pkg_cv_GLIB_BIGDFT_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29212-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29227-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29228:  pkg_cv_GLIB_BIGDFT_LIBS=`$PKG_CONFIG --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29229-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29248-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29249:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29250-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29251:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29252-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29451-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29452:  pkg_cv_GOBJECT_INTROSPECTION_CFLAGS=`$PKG_CONFIG --cflags "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29453-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29468-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29469:  pkg_cv_GOBJECT_INTROSPECTION_LIBS=`$PKG_CONFIG --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29470-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29489-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29490:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29491-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29492:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29493-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29530-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29531:  pkg_cv_PYGOBJECT_CFLAGS=`$PKG_CONFIG --cflags "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29532-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29547-  test $ac_status = 0; }; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29548:  pkg_cv_PYGOBJECT_LIBS=`$PKG_CONFIG --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29549-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29568-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29569:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29570-        else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29571:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29572-        fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29728-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29729:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29730-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29747-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29748:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29749-fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29767-     fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29768:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29769-     case $am_cv_python_pythondir in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29770-     $am_py_prefix*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29771:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29772:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29773-       ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29804-     fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29805:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29806-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29807-     $am_py_exec_prefix*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29808:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29809:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29810-       ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29837-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29838:py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29839:py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29840-if test -x "$PYTHON-config"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29841:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29842-else
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29873-$as_echo_n "checking for pygobject overrides directory... " >&6; }
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:29874:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-29875-  # fallback if the previous failed
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30086-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30087:  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30088-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30269-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30270:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30271-  as_echo='print -r --'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30272-  as_echo_n='print -rn --'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30273:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30274-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30276-else
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30277:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30278-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30286-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30287:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30288-      esac;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30363-# ----------------------------------------
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30364:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30365-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30435-  {
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30436:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30437-  }
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30539-      case $as_dir in #(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30540:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30541-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30596-	esac;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30597:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30598-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30686-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30687:ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30688-ac_cs_version="\\
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30711-  --*=?*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30712:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30713:    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30714-    ac_shift=:
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30716-  --*=)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30717:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30718-    ac_optarg=
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30740-    case $ac_optarg in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30741:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30742-    '') as_fn_error $? "missing file argument" ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30748-    case $ac_optarg in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30749:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30750-    esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-30817-delay_variable_subst='$delay_variable_subst'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30818:AS='`$ECHO "$AS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30819:DLLTOOL='`$ECHO "$DLLTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30820:OBJDUMP='`$ECHO "$OBJDUMP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30821:macro_version='`$ECHO "$macro_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30822:macro_revision='`$ECHO "$macro_revision" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30823:enable_shared='`$ECHO "$enable_shared" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30824:enable_static='`$ECHO "$enable_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30825:pic_mode='`$ECHO "$pic_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30826:enable_fast_install='`$ECHO "$enable_fast_install" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30827:SHELL='`$ECHO "$SHELL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30828:ECHO='`$ECHO "$ECHO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30829:PATH_SEPARATOR='`$ECHO "$PATH_SEPARATOR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30830:host_alias='`$ECHO "$host_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30831:host='`$ECHO "$host" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30832:host_os='`$ECHO "$host_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30833:build_alias='`$ECHO "$build_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30834:build='`$ECHO "$build" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30835:build_os='`$ECHO "$build_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30836:SED='`$ECHO "$SED" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30837:Xsed='`$ECHO "$Xsed" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30838:GREP='`$ECHO "$GREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30839:EGREP='`$ECHO "$EGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30840:FGREP='`$ECHO "$FGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30841:LD='`$ECHO "$LD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30842:NM='`$ECHO "$NM" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30843:LN_S='`$ECHO "$LN_S" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30844:max_cmd_len='`$ECHO "$max_cmd_len" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30845:ac_objext='`$ECHO "$ac_objext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30846:exeext='`$ECHO "$exeext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30847:lt_unset='`$ECHO "$lt_unset" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30848:lt_SP2NL='`$ECHO "$lt_SP2NL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30849:lt_NL2SP='`$ECHO "$lt_NL2SP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30850:lt_cv_to_host_file_cmd='`$ECHO "$lt_cv_to_host_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30851:lt_cv_to_tool_file_cmd='`$ECHO "$lt_cv_to_tool_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30852:reload_flag='`$ECHO "$reload_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30853:reload_cmds='`$ECHO "$reload_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30854:deplibs_check_method='`$ECHO "$deplibs_check_method" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30855:file_magic_cmd='`$ECHO "$file_magic_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30856:file_magic_glob='`$ECHO "$file_magic_glob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30857:want_nocaseglob='`$ECHO "$want_nocaseglob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30858:sharedlib_from_linklib_cmd='`$ECHO "$sharedlib_from_linklib_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30859:AR='`$ECHO "$AR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30860:AR_FLAGS='`$ECHO "$AR_FLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30861:archiver_list_spec='`$ECHO "$archiver_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30862:STRIP='`$ECHO "$STRIP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30863:RANLIB='`$ECHO "$RANLIB" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30864:old_postinstall_cmds='`$ECHO "$old_postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30865:old_postuninstall_cmds='`$ECHO "$old_postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30866:old_archive_cmds='`$ECHO "$old_archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30867:lock_old_archive_extraction='`$ECHO "$lock_old_archive_extraction" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30868:CC='`$ECHO "$CC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30869:CFLAGS='`$ECHO "$CFLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30870:compiler='`$ECHO "$compiler" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30871:GCC='`$ECHO "$GCC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30872:lt_cv_sys_global_symbol_pipe='`$ECHO "$lt_cv_sys_global_symbol_pipe" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30873:lt_cv_sys_global_symbol_to_cdecl='`$ECHO "$lt_cv_sys_global_symbol_to_cdecl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30874:lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30875:lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30876:nm_file_list_spec='`$ECHO "$nm_file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30877:lt_sysroot='`$ECHO "$lt_sysroot" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30878:objdir='`$ECHO "$objdir" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30879:MAGIC_CMD='`$ECHO "$MAGIC_CMD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30880:lt_prog_compiler_no_builtin_flag='`$ECHO "$lt_prog_compiler_no_builtin_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30881:lt_prog_compiler_pic='`$ECHO "$lt_prog_compiler_pic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30882:lt_prog_compiler_wl='`$ECHO "$lt_prog_compiler_wl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30883:lt_prog_compiler_static='`$ECHO "$lt_prog_compiler_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30884:lt_cv_prog_compiler_c_o='`$ECHO "$lt_cv_prog_compiler_c_o" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30885:need_locks='`$ECHO "$need_locks" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30886:MANIFEST_TOOL='`$ECHO "$MANIFEST_TOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30887:DSYMUTIL='`$ECHO "$DSYMUTIL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30888:NMEDIT='`$ECHO "$NMEDIT" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30889:LIPO='`$ECHO "$LIPO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30890:OTOOL='`$ECHO "$OTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30891:OTOOL64='`$ECHO "$OTOOL64" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30892:libext='`$ECHO "$libext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30893:shrext_cmds='`$ECHO "$shrext_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30894:extract_expsyms_cmds='`$ECHO "$extract_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30895:archive_cmds_need_lc='`$ECHO "$archive_cmds_need_lc" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30896:enable_shared_with_static_runtimes='`$ECHO "$enable_shared_with_static_runtimes" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30897:export_dynamic_flag_spec='`$ECHO "$export_dynamic_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30898:whole_archive_flag_spec='`$ECHO "$whole_archive_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30899:compiler_needs_object='`$ECHO "$compiler_needs_object" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30900:old_archive_from_new_cmds='`$ECHO "$old_archive_from_new_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30901:old_archive_from_expsyms_cmds='`$ECHO "$old_archive_from_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30902:archive_cmds='`$ECHO "$archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30903:archive_expsym_cmds='`$ECHO "$archive_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30904:module_cmds='`$ECHO "$module_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30905:module_expsym_cmds='`$ECHO "$module_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30906:with_gnu_ld='`$ECHO "$with_gnu_ld" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30907:allow_undefined_flag='`$ECHO "$allow_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30908:no_undefined_flag='`$ECHO "$no_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30909:hardcode_libdir_flag_spec='`$ECHO "$hardcode_libdir_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30910:hardcode_libdir_separator='`$ECHO "$hardcode_libdir_separator" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30911:hardcode_direct='`$ECHO "$hardcode_direct" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30912:hardcode_direct_absolute='`$ECHO "$hardcode_direct_absolute" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30913:hardcode_minus_L='`$ECHO "$hardcode_minus_L" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30914:hardcode_shlibpath_var='`$ECHO "$hardcode_shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30915:hardcode_automatic='`$ECHO "$hardcode_automatic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30916:inherit_rpath='`$ECHO "$inherit_rpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30917:link_all_deplibs='`$ECHO "$link_all_deplibs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30918:always_export_symbols='`$ECHO "$always_export_symbols" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30919:export_symbols_cmds='`$ECHO "$export_symbols_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30920:exclude_expsyms='`$ECHO "$exclude_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30921:include_expsyms='`$ECHO "$include_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30922:prelink_cmds='`$ECHO "$prelink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30923:postlink_cmds='`$ECHO "$postlink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30924:file_list_spec='`$ECHO "$file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30925:variables_saved_for_relink='`$ECHO "$variables_saved_for_relink" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30926:need_lib_prefix='`$ECHO "$need_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30927:need_version='`$ECHO "$need_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30928:version_type='`$ECHO "$version_type" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30929:runpath_var='`$ECHO "$runpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30930:shlibpath_var='`$ECHO "$shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30931:shlibpath_overrides_runpath='`$ECHO "$shlibpath_overrides_runpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30932:libname_spec='`$ECHO "$libname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30933:library_names_spec='`$ECHO "$library_names_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30934:soname_spec='`$ECHO "$soname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30935:install_override_mode='`$ECHO "$install_override_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30936:postinstall_cmds='`$ECHO "$postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30937:postuninstall_cmds='`$ECHO "$postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30938:finish_cmds='`$ECHO "$finish_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30939:finish_eval='`$ECHO "$finish_eval" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30940:hardcode_into_libs='`$ECHO "$hardcode_into_libs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30941:sys_lib_search_path_spec='`$ECHO "$sys_lib_search_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30942:sys_lib_dlsearch_path_spec='`$ECHO "$sys_lib_dlsearch_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30943:hardcode_action='`$ECHO "$hardcode_action" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30944:enable_dlopen='`$ECHO "$enable_dlopen" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30945:enable_dlopen_self='`$ECHO "$enable_dlopen_self" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30946:enable_dlopen_self_static='`$ECHO "$enable_dlopen_self_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30947:old_striplib='`$ECHO "$old_striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30948:striplib='`$ECHO "$striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30949:compiler_lib_search_dirs='`$ECHO "$compiler_lib_search_dirs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30950:predep_objects='`$ECHO "$predep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30951:postdep_objects='`$ECHO "$postdep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30952:predeps='`$ECHO "$predeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30953:postdeps='`$ECHO "$postdeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30954:compiler_lib_search_path='`$ECHO "$compiler_lib_search_path" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30955:LD_CXX='`$ECHO "$LD_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30956:LD_F77='`$ECHO "$LD_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30957:LD_FC='`$ECHO "$LD_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30958:reload_flag_CXX='`$ECHO "$reload_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30959:reload_flag_F77='`$ECHO "$reload_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30960:reload_flag_FC='`$ECHO "$reload_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30961:reload_cmds_CXX='`$ECHO "$reload_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30962:reload_cmds_F77='`$ECHO "$reload_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30963:reload_cmds_FC='`$ECHO "$reload_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30964:old_archive_cmds_CXX='`$ECHO "$old_archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30965:old_archive_cmds_F77='`$ECHO "$old_archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30966:old_archive_cmds_FC='`$ECHO "$old_archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30967:compiler_CXX='`$ECHO "$compiler_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30968:compiler_F77='`$ECHO "$compiler_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30969:compiler_FC='`$ECHO "$compiler_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30970:GCC_CXX='`$ECHO "$GCC_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30971:GCC_F77='`$ECHO "$GCC_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30972:GCC_FC='`$ECHO "$GCC_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30973:lt_prog_compiler_no_builtin_flag_CXX='`$ECHO "$lt_prog_compiler_no_builtin_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30974:lt_prog_compiler_no_builtin_flag_F77='`$ECHO "$lt_prog_compiler_no_builtin_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30975:lt_prog_compiler_no_builtin_flag_FC='`$ECHO "$lt_prog_compiler_no_builtin_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30976:lt_prog_compiler_pic_CXX='`$ECHO "$lt_prog_compiler_pic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30977:lt_prog_compiler_pic_F77='`$ECHO "$lt_prog_compiler_pic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30978:lt_prog_compiler_pic_FC='`$ECHO "$lt_prog_compiler_pic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30979:lt_prog_compiler_wl_CXX='`$ECHO "$lt_prog_compiler_wl_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30980:lt_prog_compiler_wl_F77='`$ECHO "$lt_prog_compiler_wl_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30981:lt_prog_compiler_wl_FC='`$ECHO "$lt_prog_compiler_wl_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30982:lt_prog_compiler_static_CXX='`$ECHO "$lt_prog_compiler_static_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30983:lt_prog_compiler_static_F77='`$ECHO "$lt_prog_compiler_static_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30984:lt_prog_compiler_static_FC='`$ECHO "$lt_prog_compiler_static_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30985:lt_cv_prog_compiler_c_o_CXX='`$ECHO "$lt_cv_prog_compiler_c_o_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30986:lt_cv_prog_compiler_c_o_F77='`$ECHO "$lt_cv_prog_compiler_c_o_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30987:lt_cv_prog_compiler_c_o_FC='`$ECHO "$lt_cv_prog_compiler_c_o_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30988:archive_cmds_need_lc_CXX='`$ECHO "$archive_cmds_need_lc_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30989:archive_cmds_need_lc_F77='`$ECHO "$archive_cmds_need_lc_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30990:archive_cmds_need_lc_FC='`$ECHO "$archive_cmds_need_lc_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30991:enable_shared_with_static_runtimes_CXX='`$ECHO "$enable_shared_with_static_runtimes_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30992:enable_shared_with_static_runtimes_F77='`$ECHO "$enable_shared_with_static_runtimes_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30993:enable_shared_with_static_runtimes_FC='`$ECHO "$enable_shared_with_static_runtimes_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30994:export_dynamic_flag_spec_CXX='`$ECHO "$export_dynamic_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30995:export_dynamic_flag_spec_F77='`$ECHO "$export_dynamic_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30996:export_dynamic_flag_spec_FC='`$ECHO "$export_dynamic_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30997:whole_archive_flag_spec_CXX='`$ECHO "$whole_archive_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30998:whole_archive_flag_spec_F77='`$ECHO "$whole_archive_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:30999:whole_archive_flag_spec_FC='`$ECHO "$whole_archive_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31000:compiler_needs_object_CXX='`$ECHO "$compiler_needs_object_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31001:compiler_needs_object_F77='`$ECHO "$compiler_needs_object_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31002:compiler_needs_object_FC='`$ECHO "$compiler_needs_object_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31003:old_archive_from_new_cmds_CXX='`$ECHO "$old_archive_from_new_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31004:old_archive_from_new_cmds_F77='`$ECHO "$old_archive_from_new_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31005:old_archive_from_new_cmds_FC='`$ECHO "$old_archive_from_new_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31006:old_archive_from_expsyms_cmds_CXX='`$ECHO "$old_archive_from_expsyms_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31007:old_archive_from_expsyms_cmds_F77='`$ECHO "$old_archive_from_expsyms_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31008:old_archive_from_expsyms_cmds_FC='`$ECHO "$old_archive_from_expsyms_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31009:archive_cmds_CXX='`$ECHO "$archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31010:archive_cmds_F77='`$ECHO "$archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31011:archive_cmds_FC='`$ECHO "$archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31012:archive_expsym_cmds_CXX='`$ECHO "$archive_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31013:archive_expsym_cmds_F77='`$ECHO "$archive_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31014:archive_expsym_cmds_FC='`$ECHO "$archive_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31015:module_cmds_CXX='`$ECHO "$module_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31016:module_cmds_F77='`$ECHO "$module_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31017:module_cmds_FC='`$ECHO "$module_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31018:module_expsym_cmds_CXX='`$ECHO "$module_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31019:module_expsym_cmds_F77='`$ECHO "$module_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31020:module_expsym_cmds_FC='`$ECHO "$module_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31021:with_gnu_ld_CXX='`$ECHO "$with_gnu_ld_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31022:with_gnu_ld_F77='`$ECHO "$with_gnu_ld_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31023:with_gnu_ld_FC='`$ECHO "$with_gnu_ld_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31024:allow_undefined_flag_CXX='`$ECHO "$allow_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31025:allow_undefined_flag_F77='`$ECHO "$allow_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31026:allow_undefined_flag_FC='`$ECHO "$allow_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31027:no_undefined_flag_CXX='`$ECHO "$no_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31028:no_undefined_flag_F77='`$ECHO "$no_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31029:no_undefined_flag_FC='`$ECHO "$no_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31030:hardcode_libdir_flag_spec_CXX='`$ECHO "$hardcode_libdir_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31031:hardcode_libdir_flag_spec_F77='`$ECHO "$hardcode_libdir_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31032:hardcode_libdir_flag_spec_FC='`$ECHO "$hardcode_libdir_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31033:hardcode_libdir_separator_CXX='`$ECHO "$hardcode_libdir_separator_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31034:hardcode_libdir_separator_F77='`$ECHO "$hardcode_libdir_separator_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31035:hardcode_libdir_separator_FC='`$ECHO "$hardcode_libdir_separator_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31036:hardcode_direct_CXX='`$ECHO "$hardcode_direct_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31037:hardcode_direct_F77='`$ECHO "$hardcode_direct_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31038:hardcode_direct_FC='`$ECHO "$hardcode_direct_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31039:hardcode_direct_absolute_CXX='`$ECHO "$hardcode_direct_absolute_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31040:hardcode_direct_absolute_F77='`$ECHO "$hardcode_direct_absolute_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31041:hardcode_direct_absolute_FC='`$ECHO "$hardcode_direct_absolute_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31042:hardcode_minus_L_CXX='`$ECHO "$hardcode_minus_L_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31043:hardcode_minus_L_F77='`$ECHO "$hardcode_minus_L_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31044:hardcode_minus_L_FC='`$ECHO "$hardcode_minus_L_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31045:hardcode_shlibpath_var_CXX='`$ECHO "$hardcode_shlibpath_var_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31046:hardcode_shlibpath_var_F77='`$ECHO "$hardcode_shlibpath_var_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31047:hardcode_shlibpath_var_FC='`$ECHO "$hardcode_shlibpath_var_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31048:hardcode_automatic_CXX='`$ECHO "$hardcode_automatic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31049:hardcode_automatic_F77='`$ECHO "$hardcode_automatic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31050:hardcode_automatic_FC='`$ECHO "$hardcode_automatic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31051:inherit_rpath_CXX='`$ECHO "$inherit_rpath_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31052:inherit_rpath_F77='`$ECHO "$inherit_rpath_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31053:inherit_rpath_FC='`$ECHO "$inherit_rpath_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31054:link_all_deplibs_CXX='`$ECHO "$link_all_deplibs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31055:link_all_deplibs_F77='`$ECHO "$link_all_deplibs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31056:link_all_deplibs_FC='`$ECHO "$link_all_deplibs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31057:always_export_symbols_CXX='`$ECHO "$always_export_symbols_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31058:always_export_symbols_F77='`$ECHO "$always_export_symbols_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31059:always_export_symbols_FC='`$ECHO "$always_export_symbols_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31060:export_symbols_cmds_CXX='`$ECHO "$export_symbols_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31061:export_symbols_cmds_F77='`$ECHO "$export_symbols_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31062:export_symbols_cmds_FC='`$ECHO "$export_symbols_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31063:exclude_expsyms_CXX='`$ECHO "$exclude_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31064:exclude_expsyms_F77='`$ECHO "$exclude_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31065:exclude_expsyms_FC='`$ECHO "$exclude_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31066:include_expsyms_CXX='`$ECHO "$include_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31067:include_expsyms_F77='`$ECHO "$include_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31068:include_expsyms_FC='`$ECHO "$include_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31069:prelink_cmds_CXX='`$ECHO "$prelink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31070:prelink_cmds_F77='`$ECHO "$prelink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31071:prelink_cmds_FC='`$ECHO "$prelink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31072:postlink_cmds_CXX='`$ECHO "$postlink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31073:postlink_cmds_F77='`$ECHO "$postlink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31074:postlink_cmds_FC='`$ECHO "$postlink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31075:file_list_spec_CXX='`$ECHO "$file_list_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31076:file_list_spec_F77='`$ECHO "$file_list_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31077:file_list_spec_FC='`$ECHO "$file_list_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31078:hardcode_action_CXX='`$ECHO "$hardcode_action_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31079:hardcode_action_F77='`$ECHO "$hardcode_action_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31080:hardcode_action_FC='`$ECHO "$hardcode_action_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31081:compiler_lib_search_dirs_CXX='`$ECHO "$compiler_lib_search_dirs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31082:compiler_lib_search_dirs_F77='`$ECHO "$compiler_lib_search_dirs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31083:compiler_lib_search_dirs_FC='`$ECHO "$compiler_lib_search_dirs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31084:predep_objects_CXX='`$ECHO "$predep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31085:predep_objects_F77='`$ECHO "$predep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31086:predep_objects_FC='`$ECHO "$predep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31087:postdep_objects_CXX='`$ECHO "$postdep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31088:postdep_objects_F77='`$ECHO "$postdep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31089:postdep_objects_FC='`$ECHO "$postdep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31090:predeps_CXX='`$ECHO "$predeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31091:predeps_F77='`$ECHO "$predeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31092:predeps_FC='`$ECHO "$predeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31093:postdeps_CXX='`$ECHO "$postdeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31094:postdeps_F77='`$ECHO "$postdeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31095:postdeps_FC='`$ECHO "$postdeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31096:compiler_lib_search_path_CXX='`$ECHO "$compiler_lib_search_path_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31097:compiler_lib_search_path_F77='`$ECHO "$compiler_lib_search_path_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31098:compiler_lib_search_path_FC='`$ECHO "$compiler_lib_search_path_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31099-
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31259-compiler_lib_search_path_FC; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31260:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31261-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31262:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31263-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31322-postlink_cmds_FC; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31323:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31324-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31325:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31326-      ;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31451-fi
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31452:ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31453-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31468-  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31469:ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31470-ac_delim='%!_!# '
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31474-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31475:  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31476-  if test $ac_delim_n = $ac_delim_num; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31612-for ac_last_try in false false :; do
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31613:  ac_tt=`sed -n "/$ac_delim/p" confdefs.h`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31614-  if test -z "$ac_tt"; then
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31744-      esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31745:      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31746-      as_fn_append ac_file_inputs " '$ac_f'"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31803-*)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31804:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31805-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31806:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31807-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31864-/@mandir@/p'
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31865:case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31866-*datarootdir*) ac_datarootdir_seen=yes;;
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31881-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31882:# Neutralize VPATH when `$srcdir' = `.'.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31883-# Shell code in configure.ac might set extrasub.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31909-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31910:  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31911-  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' \
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31955-    * )
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:31956:      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-31957-  esac
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32002-    # Strip MF so we end up with the name of the file.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32003:    mf=`echo "$mf" | sed -e 's/:.*$//'`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32004-    # Check whether this is an Automake generated Makefile or not.
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32039-    # from the Makefile without running `make'.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32040:    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32041-    test -z "$DEPDIR" && continue
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32042:    am__include=`sed -n 's/^am__include = //p' < "$mf"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32043-    test -z "am__include" && continue
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32044:    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32045-    # When using ansi2knr, U may be empty or an underscore; expand it
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32046:    U=`sed -n 's/^U = //p' < "$mf"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32047-    # Find all dependency output files, they are included files with
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32100-
v-sim-3.7.2/.pc/openbabel3-build.patch/configure:32101:# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
v-sim-3.7.2/.pc/openbabel3-build.patch/configure-32102-# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac-275-  AM_CHECK_PYTHON_HEADERS(,[AC_MSG_ERROR(could not find Python headers)])
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac:276:  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac-277-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac-455-  AC_MSG_CHECKING(for pygobject overrides directory)
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac:456:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/.pc/openbabel3-build.patch/configure.ac-457-  # fallback if the previous failed
##############################################
v-sim-3.7.2/configure-47-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/configure:48:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/configure-49-  as_echo='print -r --'
v-sim-3.7.2/configure-50-  as_echo_n='print -rn --'
v-sim-3.7.2/configure:51:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/configure-52-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/configure-54-else
v-sim-3.7.2/configure:55:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/configure-56-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/configure-64-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/configure:65:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/configure-66-      esac;
##############################################
v-sim-3.7.2/configure-175-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
v-sim-3.7.2/configure:176:  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
v-sim-3.7.2/configure-177-
##############################################
v-sim-3.7.2/configure-182-    PATH=/empty FPATH=/empty; export PATH FPATH
v-sim-3.7.2/configure:183:    test \"X\`printf %s \$ECHO\`\" = \"X\$ECHO\" \\
v-sim-3.7.2/configure:184:      || test \"X\`print -r -- \$ECHO\`\" = \"X\$ECHO\" ) || exit 1
v-sim-3.7.2/configure-185-test \$(( 1 + 1 )) = 2 || exit 1"
##############################################
v-sim-3.7.2/configure-307-      case $as_dir in #(
v-sim-3.7.2/configure:308:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/configure-309-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/configure-372-  {
v-sim-3.7.2/configure:373:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/configure-374-  }
##############################################
v-sim-3.7.2/configure-379-# ----------------------------------------
v-sim-3.7.2/configure:380:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/configure-381-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/configure-442-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
v-sim-3.7.2/configure:443:  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
v-sim-3.7.2/configure-444-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
##############################################
v-sim-3.7.2/configure-533-	esac;
v-sim-3.7.2/configure:534:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/configure-535-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/configure-1076-  case $ac_option in
v-sim-3.7.2/configure:1077:  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
v-sim-3.7.2/configure-1078-  *=)   ac_optarg= ;;
##############################################
v-sim-3.7.2/configure-1120-  -disable-* | --disable-*)
v-sim-3.7.2/configure:1121:    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
v-sim-3.7.2/configure-1122-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/configure-1125-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/configure:1126:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/configure-1127-    case $ac_user_opts in
##############################################
v-sim-3.7.2/configure-1146-  -enable-* | --enable-*)
v-sim-3.7.2/configure:1147:    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
v-sim-3.7.2/configure-1148-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/configure-1151-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/configure:1152:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/configure-1153-    case $ac_user_opts in
##############################################
v-sim-3.7.2/configure-1350-  -with-* | --with-*)
v-sim-3.7.2/configure:1351:    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
v-sim-3.7.2/configure-1352-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/configure-1355-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/configure:1356:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/configure-1357-    case $ac_user_opts in
##############################################
v-sim-3.7.2/configure-1366-  -without-* | --without-*)
v-sim-3.7.2/configure:1367:    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
v-sim-3.7.2/configure-1368-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/configure-1371-    ac_useropt_orig=$ac_useropt
v-sim-3.7.2/configure:1372:    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
v-sim-3.7.2/configure-1373-    case $ac_user_opts in
##############################################
v-sim-3.7.2/configure-1404-  *=*)
v-sim-3.7.2/configure:1405:    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
v-sim-3.7.2/configure-1406-    # Reject names that are not valid shell variable names.
##############################################
v-sim-3.7.2/configure-1425-if test -n "$ac_prev"; then
v-sim-3.7.2/configure:1426:  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
v-sim-3.7.2/configure-1427-  as_fn_error $? "missing argument to $ac_option"
##############################################
v-sim-3.7.2/configure-1447-    */ )
v-sim-3.7.2/configure:1448:      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
v-sim-3.7.2/configure-1449-      eval $ac_var=\$ac_val;;
##############################################
v-sim-3.7.2/configure-1484-ac_ls_di=`ls -di .` &&
v-sim-3.7.2/configure:1485:ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
v-sim-3.7.2/configure-1486-  as_fn_error $? "working directory cannot be determined"
##############################################
v-sim-3.7.2/configure-1540-case $srcdir in
v-sim-3.7.2/configure:1541:*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
v-sim-3.7.2/configure-1542-esac
##############################################
v-sim-3.7.2/configure-1583-By default, \`make install' will install all the files in
v-sim-3.7.2/configure:1584:\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
v-sim-3.7.2/configure:1585:an installation prefix other than \`$ac_default_prefix' using \`--prefix',
v-sim-3.7.2/configure-1586-for instance \`--prefix=\$HOME'.
##############################################
v-sim-3.7.2/configure-1796-*)
v-sim-3.7.2/configure:1797:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/configure-1798-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/configure:1799:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/configure-1800-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/configure-2573-    *\'*)
v-sim-3.7.2/configure:2574:      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/configure-2575-    esac
##############################################
v-sim-3.7.2/configure-2656-      case $ac_val in
v-sim-3.7.2/configure:2657:      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/configure-2658-      esac
##############################################
v-sim-3.7.2/configure-2671-	case $ac_val in
v-sim-3.7.2/configure:2672:	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
v-sim-3.7.2/configure-2673-	esac
##############################################
v-sim-3.7.2/configure-2791-    set,)
v-sim-3.7.2/configure:2792:      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
v-sim-3.7.2/configure:2793:$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
v-sim-3.7.2/configure-2794-      ac_cache_corrupted=: ;;
##############################################
v-sim-3.7.2/configure-2802-	# differences in whitespace do not lead to failure.
v-sim-3.7.2/configure:2803:	ac_old_val_w=`echo x $ac_old_val`
v-sim-3.7.2/configure:2804:	ac_new_val_w=`echo x $ac_new_val`
v-sim-3.7.2/configure-2805-	if test "$ac_old_val_w" != "$ac_new_val_w"; then
##############################################
v-sim-3.7.2/configure-2822-    case $ac_new_val in
v-sim-3.7.2/configure:2823:    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/configure-2824-    *) ac_arg=$ac_var=$ac_new_val ;;
##############################################
v-sim-3.7.2/configure-3015-if (
v-sim-3.7.2/configure:3016:   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
v-sim-3.7.2/configure-3017-   if test "$*" = "X"; then
v-sim-3.7.2/configure-3018-      # -L didn't work.
v-sim-3.7.2/configure:3019:      set X `ls -t "$srcdir/configure" conftest.file`
v-sim-3.7.2/configure-3020-   fi
##############################################
v-sim-3.7.2/configure-3051-ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
v-sim-3.7.2/configure:3052:program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
v-sim-3.7.2/configure-3053-
v-sim-3.7.2/configure-3054-# expand $ac_aux_dir to an absolute path
v-sim-3.7.2/configure:3055:am_aux_dir=`cd $ac_aux_dir && pwd`
v-sim-3.7.2/configure-3056-
##############################################
v-sim-3.7.2/configure-3196-	   { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
v-sim-3.7.2/configure:3197:	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
v-sim-3.7.2/configure-3198-	     'mkdir (GNU coreutils) '* | \
##############################################
v-sim-3.7.2/configure-3275-set x ${MAKE-make}
v-sim-3.7.2/configure:3276:ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
v-sim-3.7.2/configure-3277-if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
##############################################
v-sim-3.7.2/configure-3285-# GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
v-sim-3.7.2/configure:3286:case `${MAKE-make} -f conftest.make 2>/dev/null` in
v-sim-3.7.2/configure-3287-  *@@@%%%=?*=@@@%%%*)
##############################################
v-sim-3.7.2/configure-3312-
v-sim-3.7.2/configure:3313:if test "`cd $srcdir && pwd`" != "`pwd`"; then
v-sim-3.7.2/configure-3314-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
##############################################
v-sim-3.7.2/configure-3739-$as_echo_n "checking whether the C compiler works... " >&6; }
v-sim-3.7.2/configure:3740:ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
v-sim-3.7.2/configure-3741-
##############################################
v-sim-3.7.2/configure-3783-	then :; else
v-sim-3.7.2/configure:3784:	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/configure-3785-	fi
##############################################
v-sim-3.7.2/configure-3843-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
v-sim-3.7.2/configure:3844:    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
v-sim-3.7.2/configure-3845-	  break;;
##############################################
v-sim-3.7.2/configure-3952-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
v-sim-3.7.2/configure:3953:    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
v-sim-3.7.2/configure-3954-       break;;
##############################################
v-sim-3.7.2/configure-4202-# Ignore all kinds of additional output from `make'.
v-sim-3.7.2/configure:4203:case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/configure-4204-*the\ am__doit\ target*)
##############################################
v-sim-3.7.2/configure-4212-   echo '.include "confinc"' > confmf
v-sim-3.7.2/configure:4213:   case `$am_make -s -f confmf 2> /dev/null` in #(
v-sim-3.7.2/configure-4214-   *the\ am__doit\ target*)
##############################################
v-sim-3.7.2/configure-4275-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/configure:4276:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/configure-4277-  fi
##############################################
v-sim-3.7.2/configure-4660-  if test "$am_compiler_list" = ""; then
v-sim-3.7.2/configure:4661:     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
v-sim-3.7.2/configure-4662-  fi
##############################################
v-sim-3.7.2/configure-5289-test "x$ac_build_alias" = x &&
v-sim-3.7.2/configure:5290:  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
v-sim-3.7.2/configure-5291-test "x$ac_build_alias" = x &&
v-sim-3.7.2/configure-5292-  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
v-sim-3.7.2/configure:5293:ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
v-sim-3.7.2/configure-5294-  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/configure-5313-IFS=$ac_save_IFS
v-sim-3.7.2/configure:5314:case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/configure-5315-
##############################################
v-sim-3.7.2/configure-5324-else
v-sim-3.7.2/configure:5325:  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
v-sim-3.7.2/configure-5326-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
##############################################
v-sim-3.7.2/configure-5346-IFS=$ac_save_IFS
v-sim-3.7.2/configure:5347:case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
v-sim-3.7.2/configure-5348-
##############################################
v-sim-3.7.2/configure-5702-if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
v-sim-3.7.2/configure:5703:   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/configure-5704-  ECHO='print -r --'
v-sim-3.7.2/configure:5705:elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
v-sim-3.7.2/configure-5706-  ECHO='printf %s\n'
##############################################
v-sim-3.7.2/configure-5771-  # Check for GNU $ac_path_SED
v-sim-3.7.2/configure:5772:case `"$ac_path_SED" --version 2>&1` in
v-sim-3.7.2/configure-5773-*GNU*)
##############################################
v-sim-3.7.2/configure-5847-  # Check for GNU $ac_path_GREP
v-sim-3.7.2/configure:5848:case `"$ac_path_GREP" --version 2>&1` in
v-sim-3.7.2/configure-5849-*GNU*)
##############################################
v-sim-3.7.2/configure-5913-  # Check for GNU $ac_path_EGREP
v-sim-3.7.2/configure:5914:case `"$ac_path_EGREP" --version 2>&1` in
v-sim-3.7.2/configure-5915-*GNU*)
##############################################
v-sim-3.7.2/configure-5980-  # Check for GNU $ac_path_FGREP
v-sim-3.7.2/configure:5981:case `"$ac_path_FGREP" --version 2>&1` in
v-sim-3.7.2/configure-5982-*GNU*)
##############################################
v-sim-3.7.2/configure-6060-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/configure:6061:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/configure-6062-  *)
v-sim-3.7.2/configure:6063:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/configure-6064-  esac
##############################################
v-sim-3.7.2/configure-6069-      # Canonicalize the pathname of ld
v-sim-3.7.2/configure:6070:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/configure-6071-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/configure:6072:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/configure-6073-      done
##############################################
v-sim-3.7.2/configure-6104-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/configure:6105:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/configure-6106-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/configure-6135-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/configure:6136:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/configure-6137-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/configure-6180-	# Tru64's nm complains that /dev/null is an invalid object file
v-sim-3.7.2/configure:6181:	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/configure-6182-	*/dev/null* | *'Invalid file or object type'*)
##############################################
v-sim-3.7.2/configure-6186-	*)
v-sim-3.7.2/configure:6187:	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/configure-6188-	  */dev/null*)
##############################################
v-sim-3.7.2/configure-6314-
v-sim-3.7.2/configure:6315:    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
v-sim-3.7.2/configure-6316-    *COFF*)
##############################################
v-sim-3.7.2/configure-6426-    # And add a safety zone
v-sim-3.7.2/configure:6427:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/configure:6428:    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/configure-6429-    ;;
##############################################
v-sim-3.7.2/configure-6459-    if test -n "$kargmax"; then
v-sim-3.7.2/configure:6460:      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[	 ]//'`
v-sim-3.7.2/configure-6461-    else
##############################################
v-sim-3.7.2/configure-6467-    if test -n "$lt_cv_sys_max_cmd_len"; then
v-sim-3.7.2/configure:6468:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
v-sim-3.7.2/configure:6469:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
v-sim-3.7.2/configure-6470-    else
##############################################
v-sim-3.7.2/configure-6479-      # we can't tell.
v-sim-3.7.2/configure:6480:      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
v-sim-3.7.2/configure-6481-	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
##############################################
v-sim-3.7.2/configure-6483-      do
v-sim-3.7.2/configure:6484:        i=`expr $i + 1`
v-sim-3.7.2/configure-6485-        teststring=$teststring$teststring
##############################################
v-sim-3.7.2/configure-6487-      # Only check the string length outside the loop.
v-sim-3.7.2/configure:6488:      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
v-sim-3.7.2/configure-6489-      teststring=
##############################################
v-sim-3.7.2/configure-6492-      # linker.  It appears as though 1/2 is a usable value.
v-sim-3.7.2/configure:6493:      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
v-sim-3.7.2/configure-6494-    fi
##############################################
v-sim-3.7.2/configure-6929-openbsd*)
v-sim-3.7.2/configure:6930:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-6931-    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
##############################################
v-sim-3.7.2/configure-6996-    else
v-sim-3.7.2/configure:6997:      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[\1]\/[\1]\/g;/g"`
v-sim-3.7.2/configure-6998-    fi
##############################################
v-sim-3.7.2/configure-7138-  # decide which to use based on capabilities of $DLLTOOL
v-sim-3.7.2/configure:7139:  case `$DLLTOOL --help 2>&1` in
v-sim-3.7.2/configure-7140-  *--identify-strict*)
##############################################
v-sim-3.7.2/configure-7660-# If we're using GNU nm, then use its standard symbol codes.
v-sim-3.7.2/configure:7661:case `$NM -V 2>&1` in
v-sim-3.7.2/configure-7662-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/configure-7678-mingw*)
v-sim-3.7.2/configure:7679:  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
v-sim-3.7.2/configure-7680-  ;;
##############################################
v-sim-3.7.2/configure-7897-   if test "$GCC" = yes; then
v-sim-3.7.2/configure:7898:     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
v-sim-3.7.2/configure-7899-   fi
##############################################
v-sim-3.7.2/configure-7901- /*)
v-sim-3.7.2/configure:7902:   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
v-sim-3.7.2/configure-7903-   ;; #(
##############################################
v-sim-3.7.2/configure-7937-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:7938:    case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/configure-7939-      *ELF-32*)
##############################################
v-sim-3.7.2/configure-7957-    if test "$lt_cv_prog_gnu_ld" = yes; then
v-sim-3.7.2/configure:7958:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/configure-7959-	*32-bit*)
##############################################
v-sim-3.7.2/configure-7969-    else
v-sim-3.7.2/configure:7970:      case `/usr/bin/file conftest.$ac_objext` in
v-sim-3.7.2/configure-7971-	*32-bit*)
##############################################
v-sim-3.7.2/configure-9123-do :
v-sim-3.7.2/configure:9124:  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
v-sim-3.7.2/configure-9125-ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
##############################################
v-sim-3.7.2/configure-9128-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/configure:9129:#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
v-sim-3.7.2/configure-9130-_ACEOF
##############################################
v-sim-3.7.2/configure-9155-  case ${2} in
v-sim-3.7.2/configure:9156:  .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
v-sim-3.7.2/configure:9157:  *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
v-sim-3.7.2/configure-9158-  esac
##############################################
v-sim-3.7.2/configure-9425-done
v-sim-3.7.2/configure:9426:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/configure-9427-
##############################################
v-sim-3.7.2/configure-9454-	"file_magic "*)
v-sim-3.7.2/configure:9455:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/configure-9456-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/configure-9520-	"file_magic "*)
v-sim-3.7.2/configure:9521:	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
v-sim-3.7.2/configure-9522-	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
##############################################
v-sim-3.7.2/configure-9887-      *)
v-sim-3.7.2/configure:9888:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-9889-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/configure-10247-  if test "$hard_links" = no; then
v-sim-3.7.2/configure:10248:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/configure:10249:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/configure-10250-    need_locks=warn
##############################################
v-sim-3.7.2/configure-10336-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/configure:10337:	case `$LD -v 2>&1` in
v-sim-3.7.2/configure-10338-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/configure-10368-    supports_anon_versioning=no
v-sim-3.7.2/configure:10369:    case `$LD -v 2>&1` in
v-sim-3.7.2/configure-10370-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/configure-10437-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/configure:10438:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-10439-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/configure-10465-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/configure:10466:      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure:10467:      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure-10468-      ;;
##############################################
v-sim-3.7.2/configure-10483-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/configure:10484:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-10485-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/configure-10488-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/configure:10489:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-10490-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/configure-10503-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/configure:10504:	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-10505-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/configure-10507-	esac
v-sim-3.7.2/configure:10508:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-10509-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/configure:10510:	  whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-10511-	  compiler_needs_object=yes
##############################################
v-sim-3.7.2/configure-10575-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/configure:10576:      case `$LD -v 2>&1` in
v-sim-3.7.2/configure-10577-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/configure-10698-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/configure:10699:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/configure-10700-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/configure-10772-      }'
v-sim-3.7.2/configure:10773:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-10774-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-10775-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/configure:10776:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-10777-  fi
##############################################
v-sim-3.7.2/configure-10790-        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/configure:10791:        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/configure-10792-      else
##############################################
v-sim-3.7.2/configure-10825-      }'
v-sim-3.7.2/configure:10826:  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-10827-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-10828-  if test -z "$lt_cv_aix_libpath_"; then
v-sim-3.7.2/configure:10829:    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-10830-  fi
##############################################
v-sim-3.7.2/configure-10898-	archive_cmds='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/configure:10899:	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-10900-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/configure-10935-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/configure:10936:	archive_cmds='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/configure-10937-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/configure-10953-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/configure:10954:    whole_archive_flag_spec='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/configure-10955-
##############################################
v-sim-3.7.2/configure-11133-      if test "$GCC" = yes; then
v-sim-3.7.2/configure:11134:	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-11135-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/configure-11161-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/configure:11162:          archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/configure-11163-	fi
v-sim-3.7.2/configure-11164-      else
v-sim-3.7.2/configure:11165:	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:11166:	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/configure-11167-      fi
##############################################
v-sim-3.7.2/configure-11201-	hardcode_direct_absolute=yes
v-sim-3.7.2/configure:11202:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-11203-	  archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/configure-11234-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:11235:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-11236-      else
v-sim-3.7.2/configure-11237-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/configure:11238:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-11239-      fi
##############################################
v-sim-3.7.2/configure-11247-	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:11248:	archive_cmds='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-11249-	hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/configure-11251-	allow_undefined_flag=' -expect_unresolved \*'
v-sim-3.7.2/configure:11252:	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:11253:	archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/configure:11254:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/configure-11255-
##############################################
v-sim-3.7.2/configure-11270-      else
v-sim-3.7.2/configure:11271:	case `$CC -V 2>&1` in
v-sim-3.7.2/configure-11272-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/configure-11669-  esac
v-sim-3.7.2/configure:11670:  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
v-sim-3.7.2/configure-11671-  case $lt_search_path_spec in
##############################################
v-sim-3.7.2/configure-11676-    # okay in the real world where ";" in dirpaths is itself problematic.
v-sim-3.7.2/configure:11677:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
v-sim-3.7.2/configure-11678-    ;;
v-sim-3.7.2/configure-11679-  *)
v-sim-3.7.2/configure:11680:    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-11681-    ;;
##############################################
v-sim-3.7.2/configure-11685-  lt_tmp_lt_search_path_spec=
v-sim-3.7.2/configure:11686:  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
v-sim-3.7.2/configure-11687-  for lt_sys_path in $lt_search_path_spec; do
##############################################
v-sim-3.7.2/configure-11720-  esac
v-sim-3.7.2/configure:11721:  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
v-sim-3.7.2/configure-11722-else
##############################################
v-sim-3.7.2/configure-11808-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/configure:11809:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/configure-11810-    ;;
##############################################
v-sim-3.7.2/configure-11844-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:11845:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:11846:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:11847:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-11848-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/configure-11853-      fi'
v-sim-3.7.2/configure:11854:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-11855-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-11861-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/configure:11862:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-11863-
##############################################
v-sim-3.7.2/configure-11867-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/configure:11868:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-11869-      ;;
##############################################
v-sim-3.7.2/configure-11871-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/configure:11872:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-11873-      ;;
##############################################
v-sim-3.7.2/configure-11880-    libname_spec='$name'
v-sim-3.7.2/configure:11881:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-11882-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/configure-11892-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/configure:11893:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/configure-11894-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/configure-11897-      # Convert to MSYS style.
v-sim-3.7.2/configure:11898:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/configure-11899-      ;;
##############################################
v-sim-3.7.2/configure-11903-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/configure:11904:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/configure:11905:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/configure:11906:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-11907-      ;;
##############################################
v-sim-3.7.2/configure-11911-        # It is most probably a Windows format PATH.
v-sim-3.7.2/configure:11912:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/configure-11913-      else
v-sim-3.7.2/configure:11914:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-11915-      fi
##############################################
v-sim-3.7.2/configure-11921-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:11922:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:11923:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:11924:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-11925-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/configure-11926-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/configure:11927:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-11928-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-11935-    # Assume MSVC wrapper
v-sim-3.7.2/configure:11936:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/configure-11937-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/configure-11952-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/configure:11953:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/configure-11954-
##############################################
v-sim-3.7.2/configure-12189-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/configure:12190:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/configure-12191-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/configure-12263-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/configure:12264:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-12265-    case $host_os in
##############################################
v-sim-3.7.2/configure-13412-done
v-sim-3.7.2/configure:13413:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/configure-13414-
##############################################
v-sim-3.7.2/configure-13444-    # gcc leaves a trailing carriage return which upsets mingw
v-sim-3.7.2/configure:13445:    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
v-sim-3.7.2/configure-13446-  *)
v-sim-3.7.2/configure:13447:    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
v-sim-3.7.2/configure-13448-  esac
##############################################
v-sim-3.7.2/configure-13453-      # Canonicalize the pathname of ld
v-sim-3.7.2/configure:13454:      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
v-sim-3.7.2/configure-13455-      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
v-sim-3.7.2/configure:13456:	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
v-sim-3.7.2/configure-13457-      done
##############################################
v-sim-3.7.2/configure-13488-      # Break only if it was the GNU/non-GNU ld that we prefer.
v-sim-3.7.2/configure:13489:      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
v-sim-3.7.2/configure-13490-      *GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/configure-13519-  # I'd rather use --version here, but apparently some GNU lds only accept -v.
v-sim-3.7.2/configure:13520:case `$LD -v 2>&1 </dev/null` in
v-sim-3.7.2/configure-13521-*GNU* | *'with BFD'*)
##############################################
v-sim-3.7.2/configure-13553-        # ancient GNU ld didn't support --whole-archive et. al.
v-sim-3.7.2/configure:13554:        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
v-sim-3.7.2/configure-13555-	  $GREP 'no-whole-archive' > /dev/null; then
##############################################
v-sim-3.7.2/configure-13637-          # below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/configure:13638:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/configure-13639-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/configure-13710-      }'
v-sim-3.7.2/configure:13711:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-13712-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-13713-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/configure:13714:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-13715-  fi
##############################################
v-sim-3.7.2/configure-13729-
v-sim-3.7.2/configure:13730:          archive_expsym_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/configure-13731-        else
##############################################
v-sim-3.7.2/configure-13764-      }'
v-sim-3.7.2/configure:13765:  lt_cv_aix_libpath__CXX=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-13766-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-13767-  if test -z "$lt_cv_aix_libpath__CXX"; then
v-sim-3.7.2/configure:13768:    lt_cv_aix_libpath__CXX=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-13769-  fi
##############################################
v-sim-3.7.2/configure-13837-	  archive_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/configure:13838:	  archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-13839-	      $SED -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/configure-13878-	    # is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/configure:13879:	    archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-13880-	      cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/configure-13899-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/configure:13900:    whole_archive_flag_spec_CXX='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/configure-13901-
##############################################
v-sim-3.7.2/configure-13993-            # dependencies.
v-sim-3.7.2/configure:13994:            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/configure-13995-            ;;
##############################################
v-sim-3.7.2/configure-14058-	    # dependencies.
v-sim-3.7.2/configure:14059:	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/configure-14060-	    ;;
##############################################
v-sim-3.7.2/configure-14094-	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/configure:14095:	archive_cmds_CXX='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure:14096:	archive_expsym_cmds_CXX='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure-14097-	;;
##############################################
v-sim-3.7.2/configure-14101-	    # SGI C++
v-sim-3.7.2/configure:14102:	    archive_cmds_CXX='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-14103-
##############################################
v-sim-3.7.2/configure-14112-	      if test "$with_gnu_ld" = no; then
v-sim-3.7.2/configure:14113:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-14114-	      else
v-sim-3.7.2/configure:14115:	        archive_cmds_CXX='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` -o $lib'
v-sim-3.7.2/configure-14116-	      fi
##############################################
v-sim-3.7.2/configure-14133-	    # to its proper name (with version) after linking.
v-sim-3.7.2/configure:14134:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/configure:14135:	    archive_expsym_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
v-sim-3.7.2/configure-14136-	    # Commands to make compiler produce verbose output that lists
##############################################
v-sim-3.7.2/configure-14143-	    # dependencies.
v-sim-3.7.2/configure:14144:	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/configure-14145-
##############################################
v-sim-3.7.2/configure-14158-	    # earlier do not add the objects themselves.
v-sim-3.7.2/configure:14159:	    case `$CC -V 2>&1` in
v-sim-3.7.2/configure-14160-	      *"Version 7."*)
##############################################
v-sim-3.7.2/configure-14179-            # Portland Group C++ compiler
v-sim-3.7.2/configure:14180:	    case `$CC -V` in
v-sim-3.7.2/configure-14181-	    *pgCC\ [1-5].* | *pgcpp\ [1-5].*)
##############################################
v-sim-3.7.2/configure-14184-		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
v-sim-3.7.2/configure:14185:		compile_command="$compile_command `find $tpldir -name \*.o | sort | $NL2SP`"'
v-sim-3.7.2/configure-14186-	      old_archive_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/configure-14188-		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
v-sim-3.7.2/configure:14189:		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | sort | $NL2SP`~
v-sim-3.7.2/configure-14190-		$RANLIB $oldlib'
##############################################
v-sim-3.7.2/configure-14193-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/configure:14194:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
v-sim-3.7.2/configure-14195-	      archive_expsym_cmds_CXX='tpldir=Template.dir~
##############################################
v-sim-3.7.2/configure-14197-		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
v-sim-3.7.2/configure:14198:		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/configure-14199-	      ;;
##############################################
v-sim-3.7.2/configure-14207-	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
v-sim-3.7.2/configure:14208:	    whole_archive_flag_spec_CXX='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-14209-            ;;
##############################################
v-sim-3.7.2/configure-14226-	    # dependencies.
v-sim-3.7.2/configure:14227:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "X$list" | $Xsed'
v-sim-3.7.2/configure-14228-	    ;;
##############################################
v-sim-3.7.2/configure-14241-	  *)
v-sim-3.7.2/configure:14242:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-14243-	    *Sun\ C*)
##############################################
v-sim-3.7.2/configure-14248-	      hardcode_libdir_flag_spec_CXX='-R$libdir'
v-sim-3.7.2/configure:14249:	      whole_archive_flag_spec_CXX='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-14250-	      compiler_needs_object_CXX=yes
##############################################
v-sim-3.7.2/configure-14318-	  hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
v-sim-3.7.2/configure:14319:	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-14320-	    archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
##############################################
v-sim-3.7.2/configure-14337-	    # to its proper name (with version) after linking.
v-sim-3.7.2/configure:14338:	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
v-sim-3.7.2/configure-14339-
##############################################
v-sim-3.7.2/configure-14358-	        allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:14359:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && func_echo_all "${wl}-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-14360-	        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/configure-14363-	        allow_undefined_flag_CXX=' -expect_unresolved \*'
v-sim-3.7.2/configure:14364:	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:14365:	        archive_expsym_cmds_CXX='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
v-sim-3.7.2/configure-14366-	          echo "-hidden">> $lib.exp~
v-sim-3.7.2/configure:14367:	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~
v-sim-3.7.2/configure-14368-	          $RM $lib.exp'
##############################################
v-sim-3.7.2/configure-14382-	    # dependencies.
v-sim-3.7.2/configure:14383:	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
v-sim-3.7.2/configure-14384-	    ;;
##############################################
v-sim-3.7.2/configure-14389-	        osf3*)
v-sim-3.7.2/configure:14390:	          archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-14391-		  ;;
v-sim-3.7.2/configure-14392-	        *)
v-sim-3.7.2/configure:14393:	          archive_cmds_CXX='$CC -shared $pic_flag -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-14394-		  ;;
##############################################
v-sim-3.7.2/configure-14636-
v-sim-3.7.2/configure:14637:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/configure-14638-    case ${prev}${p} in
##############################################
v-sim-3.7.2/configure-14733-linux*)
v-sim-3.7.2/configure:14734:  case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-14735-  *Sun\ C*)
##############################################
v-sim-3.7.2/configure-14784-if test -n "${compiler_lib_search_path_CXX}"; then
v-sim-3.7.2/configure:14785: compiler_lib_search_dirs_CXX=`echo " ${compiler_lib_search_path_CXX}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/configure-14786-fi
##############################################
v-sim-3.7.2/configure-15025-	  *)
v-sim-3.7.2/configure:15026:	    case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-15027-	    *Sun\ C*)
##############################################
v-sim-3.7.2/configure-15379-  if test "$hard_links" = no; then
v-sim-3.7.2/configure:15380:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/configure:15381:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/configure-15382-    need_locks=warn
##############################################
v-sim-3.7.2/configure-15656-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/configure:15657:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/configure-15658-    ;;
##############################################
v-sim-3.7.2/configure-15692-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:15693:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:15694:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:15695:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-15696-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/configure-15701-      fi'
v-sim-3.7.2/configure:15702:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-15703-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-15709-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/configure:15710:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-15711-
##############################################
v-sim-3.7.2/configure-15714-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/configure:15715:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-15716-      ;;
##############################################
v-sim-3.7.2/configure-15718-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/configure:15719:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-15720-      ;;
##############################################
v-sim-3.7.2/configure-15727-    libname_spec='$name'
v-sim-3.7.2/configure:15728:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-15729-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/configure-15739-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/configure:15740:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/configure-15741-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/configure-15744-      # Convert to MSYS style.
v-sim-3.7.2/configure:15745:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/configure-15746-      ;;
##############################################
v-sim-3.7.2/configure-15750-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/configure:15751:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/configure:15752:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/configure:15753:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-15754-      ;;
##############################################
v-sim-3.7.2/configure-15758-        # It is most probably a Windows format PATH.
v-sim-3.7.2/configure:15759:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/configure-15760-      else
v-sim-3.7.2/configure:15761:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-15762-      fi
##############################################
v-sim-3.7.2/configure-15768-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:15769:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:15770:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:15771:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-15772-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/configure-15773-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/configure:15774:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-15775-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-15782-    # Assume MSVC wrapper
v-sim-3.7.2/configure:15783:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/configure-15784-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/configure-15799-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/configure:15800:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/configure-15801-
##############################################
v-sim-3.7.2/configure-16035-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/configure:16036:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/configure-16037-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/configure-16109-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/configure:16110:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-16111-    case $host_os in
##############################################
v-sim-3.7.2/configure-16470-done
v-sim-3.7.2/configure:16471:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/configure-16472-
##############################################
v-sim-3.7.2/configure-16711-      *)
v-sim-3.7.2/configure:16712:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-16713-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/configure-17059-  if test "$hard_links" = no; then
v-sim-3.7.2/configure:17060:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/configure:17061:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/configure-17062-    need_locks=warn
##############################################
v-sim-3.7.2/configure-17145-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/configure:17146:	case `$LD -v 2>&1` in
v-sim-3.7.2/configure-17147-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/configure-17177-    supports_anon_versioning=no
v-sim-3.7.2/configure:17178:    case `$LD -v 2>&1` in
v-sim-3.7.2/configure-17179-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/configure-17246-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/configure:17247:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-17248-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/configure-17274-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/configure:17275:      archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure:17276:      archive_expsym_cmds_F77='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure-17277-      ;;
##############################################
v-sim-3.7.2/configure-17292-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/configure:17293:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-17294-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/configure-17297-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/configure:17298:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-17299-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/configure-17312-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/configure:17313:	  whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-17314-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/configure-17316-	esac
v-sim-3.7.2/configure:17317:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-17318-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/configure:17319:	  whole_archive_flag_spec_F77='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-17320-	  compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/configure-17384-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/configure:17385:      case `$LD -v 2>&1` in
v-sim-3.7.2/configure-17386-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/configure-17507-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/configure:17508:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/configure-17509-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/configure-17575-      }'
v-sim-3.7.2/configure:17576:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-17577-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-17578-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/configure:17579:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-17580-  fi
##############################################
v-sim-3.7.2/configure-17593-        hardcode_libdir_flag_spec_F77='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/configure:17594:        archive_expsym_cmds_F77='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/configure-17595-      else
##############################################
v-sim-3.7.2/configure-17622-      }'
v-sim-3.7.2/configure:17623:  lt_cv_aix_libpath__F77=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-17624-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-17625-  if test -z "$lt_cv_aix_libpath__F77"; then
v-sim-3.7.2/configure:17626:    lt_cv_aix_libpath__F77=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-17627-  fi
##############################################
v-sim-3.7.2/configure-17695-	archive_cmds_F77='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/configure:17696:	archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-17697-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/configure-17732-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/configure:17733:	archive_cmds_F77='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/configure-17734-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/configure-17750-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/configure:17751:    whole_archive_flag_spec_F77='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/configure-17752-    compiler_needs_object_F77=yes
##############################################
v-sim-3.7.2/configure-17891-      if test "$GCC" = yes; then
v-sim-3.7.2/configure:17892:	archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-17893-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/configure-17920-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/configure:17921:          archive_expsym_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/configure-17922-	fi
v-sim-3.7.2/configure-17923-      else
v-sim-3.7.2/configure:17924:	archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:17925:	archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/configure-17926-      fi
##############################################
v-sim-3.7.2/configure-17960-	hardcode_direct_absolute_F77=yes
v-sim-3.7.2/configure:17961:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-17962-	  archive_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/configure-17993-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:17994:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-17995-      else
v-sim-3.7.2/configure-17996-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/configure:17997:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-17998-      fi
##############################################
v-sim-3.7.2/configure-18006-	allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:18007:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-18008-	hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/configure-18010-	allow_undefined_flag_F77=' -expect_unresolved \*'
v-sim-3.7.2/configure:18011:	archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:18012:	archive_expsym_cmds_F77='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/configure:18013:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/configure-18014-
##############################################
v-sim-3.7.2/configure-18029-      else
v-sim-3.7.2/configure:18030:	case `$CC -V 2>&1` in
v-sim-3.7.2/configure-18031-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/configure-18404-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/configure:18405:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/configure-18406-    ;;
##############################################
v-sim-3.7.2/configure-18440-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:18441:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:18442:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:18443:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-18444-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/configure-18449-      fi'
v-sim-3.7.2/configure:18450:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-18451-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-18457-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/configure:18458:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-18459-
##############################################
v-sim-3.7.2/configure-18462-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/configure:18463:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-18464-      ;;
##############################################
v-sim-3.7.2/configure-18466-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/configure:18467:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-18468-      ;;
##############################################
v-sim-3.7.2/configure-18475-    libname_spec='$name'
v-sim-3.7.2/configure:18476:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-18477-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/configure-18487-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/configure:18488:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/configure-18489-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/configure-18492-      # Convert to MSYS style.
v-sim-3.7.2/configure:18493:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/configure-18494-      ;;
##############################################
v-sim-3.7.2/configure-18498-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/configure:18499:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/configure:18500:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/configure:18501:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-18502-      ;;
##############################################
v-sim-3.7.2/configure-18506-        # It is most probably a Windows format PATH.
v-sim-3.7.2/configure:18507:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/configure-18508-      else
v-sim-3.7.2/configure:18509:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-18510-      fi
##############################################
v-sim-3.7.2/configure-18516-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:18517:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:18518:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:18519:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-18520-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/configure-18521-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/configure:18522:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-18523-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-18530-    # Assume MSVC wrapper
v-sim-3.7.2/configure:18531:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/configure-18532-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/configure-18547-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/configure:18548:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/configure-18549-
##############################################
v-sim-3.7.2/configure-18777-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/configure:18778:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/configure-18779-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/configure-18851-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/configure:18852:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-18853-    case $host_os in
##############################################
v-sim-3.7.2/configure-19208-done
v-sim-3.7.2/configure:19209:cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
v-sim-3.7.2/configure-19210-
##############################################
v-sim-3.7.2/configure-19290-
v-sim-3.7.2/configure:19291:  for p in `eval "$output_verbose_link_cmd"`; do
v-sim-3.7.2/configure-19292-    case ${prev}${p} in
##############################################
v-sim-3.7.2/configure-19384-if test -n "${compiler_lib_search_path_FC}"; then
v-sim-3.7.2/configure:19385: compiler_lib_search_dirs_FC=`echo " ${compiler_lib_search_path_FC}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
v-sim-3.7.2/configure-19386-fi
##############################################
v-sim-3.7.2/configure-19594-      *)
v-sim-3.7.2/configure:19595:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-19596-	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
##############################################
v-sim-3.7.2/configure-19942-  if test "$hard_links" = no; then
v-sim-3.7.2/configure:19943:    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
v-sim-3.7.2/configure:19944:$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
v-sim-3.7.2/configure-19945-    need_locks=warn
##############################################
v-sim-3.7.2/configure-20028-	# shared libraries, regardless of the interface used.
v-sim-3.7.2/configure:20029:	case `$LD -v 2>&1` in
v-sim-3.7.2/configure-20030-	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
##############################################
v-sim-3.7.2/configure-20060-    supports_anon_versioning=no
v-sim-3.7.2/configure:20061:    case `$LD -v 2>&1` in
v-sim-3.7.2/configure-20062-      *GNU\ gold*) supports_anon_versioning=yes ;;
##############################################
v-sim-3.7.2/configure-20129-	# is EXPORTS), use it as is; otherwise, prepend...
v-sim-3.7.2/configure:20130:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-20131-	  cp $export_symbols $output_objdir/$soname.def;
##############################################
v-sim-3.7.2/configure-20157-      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
v-sim-3.7.2/configure:20158:      archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure:20159:      archive_expsym_cmds_FC='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
v-sim-3.7.2/configure-20160-      ;;
##############################################
v-sim-3.7.2/configure-20175-        pgcc*)				# Portland Group C compiler
v-sim-3.7.2/configure:20176:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-20177-	  tmp_addflag=' $pic_flag'
##############################################
v-sim-3.7.2/configure-20180-					# Portland Group f77 and f90 compilers
v-sim-3.7.2/configure:20181:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-20182-	  tmp_addflag=' $pic_flag -Mnomain' ;;
##############################################
v-sim-3.7.2/configure-20195-	nvcc*)	# Cuda Compiler Driver 2.2
v-sim-3.7.2/configure:20196:	  whole_archive_flag_spec_FC='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-20197-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/configure-20199-	esac
v-sim-3.7.2/configure:20200:	case `$CC -V 2>&1 | sed 5q` in
v-sim-3.7.2/configure-20201-	*Sun\ C*)			# Sun C 5.9
v-sim-3.7.2/configure:20202:	  whole_archive_flag_spec_FC='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
v-sim-3.7.2/configure-20203-	  compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/configure-20267-    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
v-sim-3.7.2/configure:20268:      case `$LD -v 2>&1` in
v-sim-3.7.2/configure-20269-        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
##############################################
v-sim-3.7.2/configure-20390-	# below for broken collect2 doesn't work under 4.3+
v-sim-3.7.2/configure:20391:	  collect2name=`${CC} -print-prog-name=collect2`
v-sim-3.7.2/configure-20392-	  if test -f "$collect2name" &&
##############################################
v-sim-3.7.2/configure-20458-      }'
v-sim-3.7.2/configure:20459:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-20460-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-20461-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/configure:20462:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-20463-  fi
##############################################
v-sim-3.7.2/configure-20476-        hardcode_libdir_flag_spec_FC='${wl}-blibpath:$libdir:'"$aix_libpath"
v-sim-3.7.2/configure:20477:        archive_expsym_cmds_FC='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
v-sim-3.7.2/configure-20478-      else
##############################################
v-sim-3.7.2/configure-20505-      }'
v-sim-3.7.2/configure:20506:  lt_cv_aix_libpath__FC=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-20507-  # Check for a 64-bit object if we didn't find anything.
v-sim-3.7.2/configure-20508-  if test -z "$lt_cv_aix_libpath__FC"; then
v-sim-3.7.2/configure:20509:    lt_cv_aix_libpath__FC=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
v-sim-3.7.2/configure-20510-  fi
##############################################
v-sim-3.7.2/configure-20578-	archive_cmds_FC='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
v-sim-3.7.2/configure:20579:	archive_expsym_cmds_FC='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
v-sim-3.7.2/configure-20580-	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
##############################################
v-sim-3.7.2/configure-20615-	# FIXME: Setting linknames here is a bad hack.
v-sim-3.7.2/configure:20616:	archive_cmds_FC='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
v-sim-3.7.2/configure-20617-	# The linker will automatically build a .lib file if we build a DLL.
##############################################
v-sim-3.7.2/configure-20633-  if test "$lt_cv_ld_force_load" = "yes"; then
v-sim-3.7.2/configure:20634:    whole_archive_flag_spec_FC='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
v-sim-3.7.2/configure-20635-    compiler_needs_object_FC=yes
##############################################
v-sim-3.7.2/configure-20774-      if test "$GCC" = yes; then
v-sim-3.7.2/configure:20775:	archive_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-20776-	# Try to use the -exported_symbol ld option, if it does not
##############################################
v-sim-3.7.2/configure-20803-	if test "$lt_cv_irix_exported_symbol" = yes; then
v-sim-3.7.2/configure:20804:          archive_expsym_cmds_FC='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
v-sim-3.7.2/configure-20805-	fi
v-sim-3.7.2/configure-20806-      else
v-sim-3.7.2/configure:20807:	archive_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:20808:	archive_expsym_cmds_FC='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
v-sim-3.7.2/configure-20809-      fi
##############################################
v-sim-3.7.2/configure-20843-	hardcode_direct_absolute_FC=yes
v-sim-3.7.2/configure:20844:	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-20845-	  archive_cmds_FC='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
##############################################
v-sim-3.7.2/configure-20876-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:20877:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-20878-      else
v-sim-3.7.2/configure-20879-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/configure:20880:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-20881-      fi
##############################################
v-sim-3.7.2/configure-20889-	allow_undefined_flag_FC=' ${wl}-expect_unresolved ${wl}\*'
v-sim-3.7.2/configure:20890:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure-20891-	hardcode_libdir_flag_spec_FC='${wl}-rpath ${wl}$libdir'
##############################################
v-sim-3.7.2/configure-20893-	allow_undefined_flag_FC=' -expect_unresolved \*'
v-sim-3.7.2/configure:20894:	archive_cmds_FC='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
v-sim-3.7.2/configure:20895:	archive_expsym_cmds_FC='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
v-sim-3.7.2/configure:20896:	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
v-sim-3.7.2/configure-20897-
##############################################
v-sim-3.7.2/configure-20912-      else
v-sim-3.7.2/configure:20913:	case `$CC -V 2>&1` in
v-sim-3.7.2/configure-20914-	*"Compilers 5.0"*)
##############################################
v-sim-3.7.2/configure-21287-    # Create ${libname}_ixlibrary.a entries in /sys/libs.
v-sim-3.7.2/configure:21288:    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
v-sim-3.7.2/configure-21289-    ;;
##############################################
v-sim-3.7.2/configure-21323-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:21324:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:21325:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:21326:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-21327-      test -d \$dldir || mkdir -p \$dldir~
##############################################
v-sim-3.7.2/configure-21332-      fi'
v-sim-3.7.2/configure:21333:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-21334-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-21340-      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
v-sim-3.7.2/configure:21341:      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-21342-
##############################################
v-sim-3.7.2/configure-21345-      # MinGW DLLs use traditional 'lib' prefix
v-sim-3.7.2/configure:21346:      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-21347-      ;;
##############################################
v-sim-3.7.2/configure-21349-      # pw32 DLLs use 'pw' prefix rather than 'lib'
v-sim-3.7.2/configure:21350:      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-21351-      ;;
##############################################
v-sim-3.7.2/configure-21358-    libname_spec='$name'
v-sim-3.7.2/configure:21359:    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
v-sim-3.7.2/configure-21360-    library_names_spec='${libname}.dll.lib'
##############################################
v-sim-3.7.2/configure-21370-        # Let DOS variable expansion print the short 8.3 style file name.
v-sim-3.7.2/configure:21371:        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
v-sim-3.7.2/configure-21372-        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
##############################################
v-sim-3.7.2/configure-21375-      # Convert to MSYS style.
v-sim-3.7.2/configure:21376:      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
v-sim-3.7.2/configure-21377-      ;;
##############################################
v-sim-3.7.2/configure-21381-      # like /cygdrive/c/PROGRA~1:/cygdr...
v-sim-3.7.2/configure:21382:      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
v-sim-3.7.2/configure:21383:      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
v-sim-3.7.2/configure:21384:      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-21385-      ;;
##############################################
v-sim-3.7.2/configure-21389-        # It is most probably a Windows format PATH.
v-sim-3.7.2/configure:21390:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
v-sim-3.7.2/configure-21391-      else
v-sim-3.7.2/configure:21392:        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
v-sim-3.7.2/configure-21393-      fi
##############################################
v-sim-3.7.2/configure-21399-    # DLL is installed to $(libdir)/../bin by postinstall_cmds
v-sim-3.7.2/configure:21400:    postinstall_cmds='base_file=`basename \${file}`~
v-sim-3.7.2/configure:21401:      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
v-sim-3.7.2/configure:21402:      dldir=$destdir/`dirname \$dlpath`~
v-sim-3.7.2/configure-21403-      test -d \$dldir || mkdir -p \$dldir~
v-sim-3.7.2/configure-21404-      $install_prog $dir/$dlname \$dldir/$dlname'
v-sim-3.7.2/configure:21405:    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
v-sim-3.7.2/configure-21406-      dlpath=$dir/\$dldll~
##############################################
v-sim-3.7.2/configure-21413-    # Assume MSVC wrapper
v-sim-3.7.2/configure:21414:    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
v-sim-3.7.2/configure-21415-    dynamic_linker='Win32 ld.exe'
##############################################
v-sim-3.7.2/configure-21430-  shlibpath_var=DYLD_LIBRARY_PATH
v-sim-3.7.2/configure:21431:  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
v-sim-3.7.2/configure-21432-
##############################################
v-sim-3.7.2/configure-21660-  if test -f /etc/ld.so.conf; then
v-sim-3.7.2/configure:21661:    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
v-sim-3.7.2/configure-21662-    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
##############################################
v-sim-3.7.2/configure-21734-  shlibpath_var=LD_LIBRARY_PATH
v-sim-3.7.2/configure:21735:  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
v-sim-3.7.2/configure-21736-    case $host_os in
##############################################
v-sim-3.7.2/configure-22141-    for ac_var in incroot usrlibdir libdir; do
v-sim-3.7.2/configure:22142:      eval "ac_im_$ac_var=\`\${MAKE-make} $ac_var 2>/dev/null | sed -n 's/^$ac_var=//p'\`"
v-sim-3.7.2/configure-22143-    done
##############################################
v-sim-3.7.2/configure-22251-  LIBS=$ac_save_LIBS
v-sim-3.7.2/configure:22252:for ac_dir in `$as_echo "$ac_x_includes $ac_x_header_dirs" | sed s/include/lib/g`
v-sim-3.7.2/configure-22253-do
##############################################
v-sim-3.7.2/configure-22399-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/configure:22400:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/configure-22401-    else
##############################################
v-sim-3.7.2/configure-22468-    if test X$ax_compiler_ms = Xyes; then
v-sim-3.7.2/configure:22469:      ax_try_lib=`echo $ax_lib | sed -e 's/^-l//' -e 's/$/.lib/'`
v-sim-3.7.2/configure-22470-    else
##############################################
v-sim-3.7.2/configure-22685-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:22686:  pkg_cv_FTGL_CFLAGS=`$PKG_CONFIG --cflags "ftgl" 2>/dev/null`
v-sim-3.7.2/configure-22687-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-22702-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:22703:  pkg_cv_FTGL_LIBS=`$PKG_CONFIG --libs "ftgl" 2>/dev/null`
v-sim-3.7.2/configure-22704-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-22723-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:22724:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/configure-22725-        else
v-sim-3.7.2/configure:22726:	        FTGL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "ftgl" 2>&1`
v-sim-3.7.2/configure-22727-        fi
##############################################
v-sim-3.7.2/configure-22767-do :
v-sim-3.7.2/configure:22768:  as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
v-sim-3.7.2/configure-22769-ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
##############################################
v-sim-3.7.2/configure-22771-  cat >>confdefs.h <<_ACEOF
v-sim-3.7.2/configure:22772:#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
v-sim-3.7.2/configure-22773-_ACEOF
##############################################
v-sim-3.7.2/configure-22811-
v-sim-3.7.2/configure:22812:INTLTOOL_REQUIRED_VERSION_AS_INT=`echo 0.35.0 | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/configure-22813-INTLTOOL_APPLIED_VERSION=`intltool-update --version | head -1 | cut -d" " -f3`
v-sim-3.7.2/configure:22814:INTLTOOL_APPLIED_VERSION_AS_INT=`echo $INTLTOOL_APPLIED_VERSION | awk -F. '{ print $ 1 * 1000 + $ 2 * 100 + $ 3; }'`
v-sim-3.7.2/configure-22815-if test -n "0.35.0"; then
##############################################
v-sim-3.7.2/configure-23251-fi
v-sim-3.7.2/configure:23252:xgversion="`$XGETTEXT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/configure:23253:mmversion="`$MSGMERGE --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/configure:23254:mfversion="`$MSGFMT --version|grep '(GNU ' 2> /dev/null`"
v-sim-3.7.2/configure-23255-if test -z "$xgversion" -o -z "$mmversion" -o -z "$mfversion"; then
##############################################
v-sim-3.7.2/configure-23307-else
v-sim-3.7.2/configure:23308:   IT_PERL_VERSION=`$INTLTOOL_PERL -e "printf '%vd', $^V"`
v-sim-3.7.2/configure-23309-   { $as_echo "$as_me:${as_lineno-$LINENO}: result: $IT_PERL_VERSION" >&5
##############################################
v-sim-3.7.2/configure-23314-$as_echo_n "checking for XML::Parser... " >&6; }
v-sim-3.7.2/configure:23315:   if `$INTLTOOL_PERL -e "require XML::Parser" 2>/dev/null`; then
v-sim-3.7.2/configure-23316-       { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
##############################################
v-sim-3.7.2/configure-23793-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/configure:23794:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep 'dv '`"; then
v-sim-3.7.2/configure-23795-	ac_cv_path_MSGFMT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/configure-23912-    if test -f $ac_dir/$ac_word; then
v-sim-3.7.2/configure:23913:      if test -z "`$ac_dir/$ac_word -h 2>&1 | grep '(HELP)'`"; then
v-sim-3.7.2/configure-23914-	ac_cv_path_XGETTEXT="$ac_dir/$ac_word"
##############################################
v-sim-3.7.2/configure-24071-   if test "x$srcdir" != "x."; then
v-sim-3.7.2/configure:24072:     if test "x`echo $srcdir | sed 's@/.*@@'`" = "x"; then
v-sim-3.7.2/configure-24073-       posrcprefix="$srcdir/"
##############################################
v-sim-3.7.2/configure-24103-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24104:  pkg_cv_GLIB_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24105-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24120-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24121:  pkg_cv_GLIB_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24122-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24141-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:24142:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24143-        else
v-sim-3.7.2/configure:24144:	        GLIB_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24145-        fi
##############################################
v-sim-3.7.2/configure-24194-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24195:  pkg_cv_CAIRO_CFLAGS=`$PKG_CONFIG --cflags "cairo" 2>/dev/null`
v-sim-3.7.2/configure-24196-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24211-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24212:  pkg_cv_CAIRO_LIBS=`$PKG_CONFIG --libs "cairo" 2>/dev/null`
v-sim-3.7.2/configure-24213-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24232-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:24233:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/configure-24234-        else
v-sim-3.7.2/configure:24235:	        CAIRO_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "cairo" 2>&1`
v-sim-3.7.2/configure-24236-        fi
##############################################
v-sim-3.7.2/configure-24289-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24290:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24291-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24306-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24307:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24308-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24327-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:24328:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24329-        else
v-sim-3.7.2/configure:24330:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gtkglext-1.0 >= 1.0.0 glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24331-        fi
##############################################
v-sim-3.7.2/configure-24410-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24411:  pkg_cv_GTKS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24412-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24427-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24428:  pkg_cv_GTKS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24429-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24448-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:24449:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24450-        else
v-sim-3.7.2/configure:24451:	        GTKS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.2.0 ${ac_required_gtk} gmodule-2.0 gobject-2.0 gthread-2.0" 2>&1`
v-sim-3.7.2/configure-24452-        fi
##############################################
v-sim-3.7.2/configure-24723-else
v-sim-3.7.2/configure:24724:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/configure-24725-fi
##############################################
v-sim-3.7.2/configure-24742-else
v-sim-3.7.2/configure:24743:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/configure-24744-fi
##############################################
v-sim-3.7.2/configure-24762-     fi
v-sim-3.7.2/configure:24763:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/configure-24764-     case $am_cv_python_pythondir in
v-sim-3.7.2/configure-24765-     $am_py_prefix*)
v-sim-3.7.2/configure:24766:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/configure:24767:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/configure-24768-       ;;
##############################################
v-sim-3.7.2/configure-24799-     fi
v-sim-3.7.2/configure:24800:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/configure-24801-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/configure-24802-     $am_py_exec_prefix*)
v-sim-3.7.2/configure:24803:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/configure:24804:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/configure-24805-       ;;
##############################################
v-sim-3.7.2/configure-24832-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/configure:24833:py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/configure:24834:py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/configure-24835-if test -x "$PYTHON-config"; then
v-sim-3.7.2/configure:24836:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/configure-24837-else
##############################################
v-sim-3.7.2/configure-24861-
v-sim-3.7.2/configure:24862:  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/configure-24863-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/configure-24878-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24879:  pkg_cv_PYGTK_CFLAGS=`$PKG_CONFIG --cflags "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24880-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24895-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:24896:  pkg_cv_PYGTK_LIBS=`$PKG_CONFIG --libs "pygobject-2.0 pygtk-2.0" 2>/dev/null`
v-sim-3.7.2/configure-24897-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-24916-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:24917:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/configure-24918-        else
v-sim-3.7.2/configure:24919:	        PYGTK_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-2.0 pygtk-2.0" 2>&1`
v-sim-3.7.2/configure-24920-        fi
##############################################
v-sim-3.7.2/configure-25148-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:25149:  pkg_cv_GTKDOC_DEPS_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/configure-25150-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-25165-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:25166:  pkg_cv_GTKDOC_DEPS_LIBS=`$PKG_CONFIG --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>/dev/null`
v-sim-3.7.2/configure-25167-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-25186-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:25187:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/configure-25188-        else
v-sim-3.7.2/configure:25189:	        GTKDOC_DEPS_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 >= 2.10.0 gobject-2.0  >= 2.10.0" 2>&1`
v-sim-3.7.2/configure-25190-        fi
##############################################
v-sim-3.7.2/configure-25378-      LDFLAGS="$LDFLAGS $NC_LDFLAGS"
v-sim-3.7.2/configure:25379:      as_ac_Lib=`$as_echo "ac_cv_lib_netcdf_$ac_check_nc_func_checked" | $as_tr_sh`
v-sim-3.7.2/configure-25380-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_check_nc_func_checked in -lnetcdf" >&5
##############################################
v-sim-3.7.2/configure-25839-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:25840:  pkg_cv_OPENBABEL_CFLAGS=`$PKG_CONFIG --cflags "openbabel-3 >= 3.0.0" 2>/dev/null`
v-sim-3.7.2/configure-25841-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-25856-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:25857:  pkg_cv_OPENBABEL_LIBS=`$PKG_CONFIG --libs "openbabel-3 >= 3.0.0" 2>/dev/null`
v-sim-3.7.2/configure-25858-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-25877-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:25878:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "openbabel-3 >= 3.0.0" 2>&1`
v-sim-3.7.2/configure-25879-        else
v-sim-3.7.2/configure:25880:	        OPENBABEL_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "openbabel-3 >= 3.0.0" 2>&1`
v-sim-3.7.2/configure-25881-        fi
##############################################
v-sim-3.7.2/configure-26017-  *xlfentry*)
v-sim-3.7.2/configure:26018:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/configure-26019-
##############################################
v-sim-3.7.2/configure-26022-  *mGLOB_options_string*)
v-sim-3.7.2/configure:26023:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/configure-26024-
##############################################
v-sim-3.7.2/configure-26035-  *cft90*)
v-sim-3.7.2/configure:26036:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/configure-26037-esac
##############################################
v-sim-3.7.2/configure-26108-  *xlfentry*)
v-sim-3.7.2/configure:26109:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/configure-26110-
##############################################
v-sim-3.7.2/configure-26113-  *mGLOB_options_string*)
v-sim-3.7.2/configure:26114:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/configure-26115-
##############################################
v-sim-3.7.2/configure-26126-  *cft90*)
v-sim-3.7.2/configure:26127:    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/configure-26128-esac
##############################################
v-sim-3.7.2/configure-26198-	-YP,*)
v-sim-3.7.2/configure:26199:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/configure-26200-	      ac_exists=false
##############################################
v-sim-3.7.2/configure-26659-        save_LIBS="$LIBS"; LIBS="$LIBS"
v-sim-3.7.2/configure:26660:        as_ac_var=`$as_echo "ac_cv_func_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26661-ac_fn_c_check_func "$LINENO" "$sgemm" "$as_ac_var"
##############################################
v-sim-3.7.2/configure-26715-if test "x$ac_cv_lib_atlas_ATL_xerbla" = xyes; then :
v-sim-3.7.2/configure:26716:  as_ac_Lib=`$as_echo "ac_cv_lib_f77blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26717-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lf77blas" >&5
##############################################
v-sim-3.7.2/configure-26819-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:26820:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26821-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/configure-26866-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/configure:26867:  as_ac_Lib=`$as_echo "ac_cv_lib_dgemm_$dgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26868-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $dgemm in -ldgemm" >&5
##############################################
v-sim-3.7.2/configure-26913-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/configure:26914:  as_ac_Lib=`$as_echo "ac_cv_lib_sgemm_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26915-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsgemm" >&5
##############################################
v-sim-3.7.2/configure-26971-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:26972:        as_ac_Lib=`$as_echo "ac_cv_lib_cxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-26973-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcxml" >&5
##############################################
v-sim-3.7.2/configure-27025-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:27026:        as_ac_Lib=`$as_echo "ac_cv_lib_dxml_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27027-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -ldxml" >&5
##############################################
v-sim-3.7.2/configure-27125-if test "x$ac_cv_lib_sunmath_acosp" = xyes; then :
v-sim-3.7.2/configure:27126:  as_ac_Lib=`$as_echo "ac_cv_lib_sunperf_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27127-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lsunperf" >&5
##############################################
v-sim-3.7.2/configure-27183-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:27184:        as_ac_Lib=`$as_echo "ac_cv_lib_scs_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27185-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lscs" >&5
##############################################
v-sim-3.7.2/configure-27237-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:27238:        as_ac_Lib=`$as_echo "ac_cv_lib_complib.sgimath_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27239-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lcomplib.sgimath" >&5
##############################################
v-sim-3.7.2/configure-27291-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:27292:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27293-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/configure-27338-if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
v-sim-3.7.2/configure:27339:  as_ac_Lib=`$as_echo "ac_cv_lib_essl_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27340-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lessl" >&5
##############################################
v-sim-3.7.2/configure-27394-if test $acx_blas_ok = no; then
v-sim-3.7.2/configure:27395:        as_ac_Lib=`$as_echo "ac_cv_lib_blas_$sgemm" | $as_tr_sh`
v-sim-3.7.2/configure-27396-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $sgemm in -lblas" >&5
##############################################
v-sim-3.7.2/configure-27553-        save_LIBS="$LIBS"; LIBS="$LIBS $BLAS_LIBS $FLIBS"
v-sim-3.7.2/configure:27554:        as_ac_var=`$as_echo "ac_cv_func_$cheev" | $as_tr_sh`
v-sim-3.7.2/configure-27555-ac_fn_c_check_func "$LINENO" "$cheev" "$as_ac_var"
##############################################
v-sim-3.7.2/configure-27566-                save_LIBS="$LIBS"; LIBS="$BLAS_LIBS $LIBS"
v-sim-3.7.2/configure:27567:                as_ac_Lib=`$as_echo "ac_cv_lib_$lapack''_$cheev" | $as_tr_sh`
v-sim-3.7.2/configure-27568-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $cheev in -l$lapack" >&5
##############################################
v-sim-3.7.2/configure-28001-  *xlfentry*)
v-sim-3.7.2/configure:28002:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/configure-28003-
##############################################
v-sim-3.7.2/configure-28006-  *mGLOB_options_string*)
v-sim-3.7.2/configure:28007:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/configure-28008-
##############################################
v-sim-3.7.2/configure-28019-  *cft90*)
v-sim-3.7.2/configure:28020:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/configure-28021-esac
##############################################
v-sim-3.7.2/configure-28092-  *xlfentry*)
v-sim-3.7.2/configure:28093:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/,/ /g'` ;;
v-sim-3.7.2/configure-28094-
##############################################
v-sim-3.7.2/configure-28097-  *mGLOB_options_string*)
v-sim-3.7.2/configure:28098:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
v-sim-3.7.2/configure-28099-
##############################################
v-sim-3.7.2/configure-28110-  *cft90*)
v-sim-3.7.2/configure:28111:    ac_fc_v_output=`echo $ac_fc_v_output | sed 's/"//g'` ;;
v-sim-3.7.2/configure-28112-esac
##############################################
v-sim-3.7.2/configure-28182-	-YP,*)
v-sim-3.7.2/configure:28183:	  for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
v-sim-3.7.2/configure-28184-	      ac_exists=false
##############################################
v-sim-3.7.2/configure-29075-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29076:  pkg_cv_LIB_ARCHIVE_CFLAGS=`$PKG_CONFIG --cflags "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/configure-29077-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29092-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29093:  pkg_cv_LIB_ARCHIVE_LIBS=`$PKG_CONFIG --libs "libarchive >= 2.4" 2>/dev/null`
v-sim-3.7.2/configure-29094-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29113-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:29114:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/configure-29115-        else
v-sim-3.7.2/configure:29116:	        LIB_ARCHIVE_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "libarchive >= 2.4" 2>&1`
v-sim-3.7.2/configure-29117-        fi
##############################################
v-sim-3.7.2/configure-29210-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29211:  pkg_cv_GLIB_BIGDFT_CFLAGS=`$PKG_CONFIG --cflags "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/configure-29212-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29227-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29228:  pkg_cv_GLIB_BIGDFT_LIBS=`$PKG_CONFIG --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>/dev/null`
v-sim-3.7.2/configure-29229-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29248-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:29249:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/configure-29250-        else
v-sim-3.7.2/configure:29251:	        GLIB_BIGDFT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "glib-2.0 gobject-2.0 gthread-2.0 gio-2.0 >= 2.22" 2>&1`
v-sim-3.7.2/configure-29252-        fi
##############################################
v-sim-3.7.2/configure-29451-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29452:  pkg_cv_GOBJECT_INTROSPECTION_CFLAGS=`$PKG_CONFIG --cflags "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/configure-29453-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29468-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29469:  pkg_cv_GOBJECT_INTROSPECTION_LIBS=`$PKG_CONFIG --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>/dev/null`
v-sim-3.7.2/configure-29470-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29489-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:29490:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/configure-29491-        else
v-sim-3.7.2/configure:29492:	        GOBJECT_INTROSPECTION_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gobject-introspection-1.0 >= $GOBJECT_INTROSPECTION_REQUIRED" 2>&1`
v-sim-3.7.2/configure-29493-        fi
##############################################
v-sim-3.7.2/configure-29530-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29531:  pkg_cv_PYGOBJECT_CFLAGS=`$PKG_CONFIG --cflags "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/configure-29532-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29547-  test $ac_status = 0; }; then
v-sim-3.7.2/configure:29548:  pkg_cv_PYGOBJECT_LIBS=`$PKG_CONFIG --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>/dev/null`
v-sim-3.7.2/configure-29549-		      test "x$?" != "x0" && pkg_failed=yes
##############################################
v-sim-3.7.2/configure-29568-        if test $_pkg_short_errors_supported = yes; then
v-sim-3.7.2/configure:29569:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/configure-29570-        else
v-sim-3.7.2/configure:29571:	        PYGOBJECT_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "pygobject-3.0 >= $PYGOBJECT_REQUIRED" 2>&1`
v-sim-3.7.2/configure-29572-        fi
##############################################
v-sim-3.7.2/configure-29728-else
v-sim-3.7.2/configure:29729:  am_cv_python_version=`$PYTHON -c "import sys; sys.stdout.write(sys.version[:3])"`
v-sim-3.7.2/configure-29730-fi
##############################################
v-sim-3.7.2/configure-29747-else
v-sim-3.7.2/configure:29748:  am_cv_python_platform=`$PYTHON -c "import sys; sys.stdout.write(sys.platform)"`
v-sim-3.7.2/configure-29749-fi
##############################################
v-sim-3.7.2/configure-29767-     fi
v-sim-3.7.2/configure:29768:     am_cv_python_pythondir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(0,0,prefix='$am_py_prefix'))" 2>/dev/null`
v-sim-3.7.2/configure-29769-     case $am_cv_python_pythondir in
v-sim-3.7.2/configure-29770-     $am_py_prefix*)
v-sim-3.7.2/configure:29771:       am__strip_prefix=`echo "$am_py_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/configure:29772:       am_cv_python_pythondir=`echo "$am_cv_python_pythondir" | sed "s,^$am__strip_prefix,$PYTHON_PREFIX,"`
v-sim-3.7.2/configure-29773-       ;;
##############################################
v-sim-3.7.2/configure-29804-     fi
v-sim-3.7.2/configure:29805:     am_cv_python_pyexecdir=`$PYTHON -c "import sys; from distutils import sysconfig; sys.stdout.write(sysconfig.get_python_lib(1,0,prefix='$am_py_exec_prefix'))" 2>/dev/null`
v-sim-3.7.2/configure-29806-     case $am_cv_python_pyexecdir in
v-sim-3.7.2/configure-29807-     $am_py_exec_prefix*)
v-sim-3.7.2/configure:29808:       am__strip_prefix=`echo "$am_py_exec_prefix" | sed 's|.|.|g'`
v-sim-3.7.2/configure:29809:       am_cv_python_pyexecdir=`echo "$am_cv_python_pyexecdir" | sed "s,^$am__strip_prefix,$PYTHON_EXEC_PREFIX,"`
v-sim-3.7.2/configure-29810-       ;;
##############################################
v-sim-3.7.2/configure-29837-$as_echo_n "checking for headers required to compile python extensions... " >&6; }
v-sim-3.7.2/configure:29838:py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/configure:29839:py_exec_prefix=`$PYTHON -c "import sys; print(sys.exec_prefix)"`
v-sim-3.7.2/configure-29840-if test -x "$PYTHON-config"; then
v-sim-3.7.2/configure:29841:PYTHON_INCLUDES=`$PYTHON-config --includes 2>/dev/null`
v-sim-3.7.2/configure-29842-else
##############################################
v-sim-3.7.2/configure-29873-$as_echo_n "checking for pygobject overrides directory... " >&6; }
v-sim-3.7.2/configure:29874:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/configure-29875-  # fallback if the previous failed
##############################################
v-sim-3.7.2/configure-30086-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
v-sim-3.7.2/configure:30087:  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
v-sim-3.7.2/configure-30088-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
##############################################
v-sim-3.7.2/configure-30269-if test -z "$BASH_VERSION$ZSH_VERSION" \
v-sim-3.7.2/configure:30270:    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/configure-30271-  as_echo='print -r --'
v-sim-3.7.2/configure-30272-  as_echo_n='print -rn --'
v-sim-3.7.2/configure:30273:elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
v-sim-3.7.2/configure-30274-  as_echo='printf %s\n'
##############################################
v-sim-3.7.2/configure-30276-else
v-sim-3.7.2/configure:30277:  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
v-sim-3.7.2/configure-30278-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
##############################################
v-sim-3.7.2/configure-30286-	expr "X$arg" : "X\\(.*\\)$as_nl";
v-sim-3.7.2/configure:30287:	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
v-sim-3.7.2/configure-30288-      esac;
##############################################
v-sim-3.7.2/configure-30363-# ----------------------------------------
v-sim-3.7.2/configure:30364:# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
v-sim-3.7.2/configure-30365-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
##############################################
v-sim-3.7.2/configure-30435-  {
v-sim-3.7.2/configure:30436:    as_val=`expr "$@" || test $? -eq 1`
v-sim-3.7.2/configure-30437-  }
##############################################
v-sim-3.7.2/configure-30539-      case $as_dir in #(
v-sim-3.7.2/configure:30540:      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
v-sim-3.7.2/configure-30541-      *) as_qdir=$as_dir;;
##############################################
v-sim-3.7.2/configure-30596-	esac;
v-sim-3.7.2/configure:30597:	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
v-sim-3.7.2/configure-30598-	???[sx]*):;;*)false;;esac;fi
##############################################
v-sim-3.7.2/configure-30686-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
v-sim-3.7.2/configure:30687:ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
v-sim-3.7.2/configure-30688-ac_cs_version="\\
##############################################
v-sim-3.7.2/configure-30711-  --*=?*)
v-sim-3.7.2/configure:30712:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/configure:30713:    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
v-sim-3.7.2/configure-30714-    ac_shift=:
##############################################
v-sim-3.7.2/configure-30716-  --*=)
v-sim-3.7.2/configure:30717:    ac_option=`expr "X$1" : 'X\([^=]*\)='`
v-sim-3.7.2/configure-30718-    ac_optarg=
##############################################
v-sim-3.7.2/configure-30740-    case $ac_optarg in
v-sim-3.7.2/configure:30741:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/configure-30742-    '') as_fn_error $? "missing file argument" ;;
##############################################
v-sim-3.7.2/configure-30748-    case $ac_optarg in
v-sim-3.7.2/configure:30749:    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
v-sim-3.7.2/configure-30750-    esac
##############################################
v-sim-3.7.2/configure-30817-delay_variable_subst='$delay_variable_subst'
v-sim-3.7.2/configure:30818:AS='`$ECHO "$AS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30819:DLLTOOL='`$ECHO "$DLLTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30820:OBJDUMP='`$ECHO "$OBJDUMP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30821:macro_version='`$ECHO "$macro_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30822:macro_revision='`$ECHO "$macro_revision" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30823:enable_shared='`$ECHO "$enable_shared" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30824:enable_static='`$ECHO "$enable_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30825:pic_mode='`$ECHO "$pic_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30826:enable_fast_install='`$ECHO "$enable_fast_install" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30827:SHELL='`$ECHO "$SHELL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30828:ECHO='`$ECHO "$ECHO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30829:PATH_SEPARATOR='`$ECHO "$PATH_SEPARATOR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30830:host_alias='`$ECHO "$host_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30831:host='`$ECHO "$host" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30832:host_os='`$ECHO "$host_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30833:build_alias='`$ECHO "$build_alias" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30834:build='`$ECHO "$build" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30835:build_os='`$ECHO "$build_os" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30836:SED='`$ECHO "$SED" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30837:Xsed='`$ECHO "$Xsed" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30838:GREP='`$ECHO "$GREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30839:EGREP='`$ECHO "$EGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30840:FGREP='`$ECHO "$FGREP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30841:LD='`$ECHO "$LD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30842:NM='`$ECHO "$NM" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30843:LN_S='`$ECHO "$LN_S" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30844:max_cmd_len='`$ECHO "$max_cmd_len" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30845:ac_objext='`$ECHO "$ac_objext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30846:exeext='`$ECHO "$exeext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30847:lt_unset='`$ECHO "$lt_unset" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30848:lt_SP2NL='`$ECHO "$lt_SP2NL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30849:lt_NL2SP='`$ECHO "$lt_NL2SP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30850:lt_cv_to_host_file_cmd='`$ECHO "$lt_cv_to_host_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30851:lt_cv_to_tool_file_cmd='`$ECHO "$lt_cv_to_tool_file_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30852:reload_flag='`$ECHO "$reload_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30853:reload_cmds='`$ECHO "$reload_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30854:deplibs_check_method='`$ECHO "$deplibs_check_method" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30855:file_magic_cmd='`$ECHO "$file_magic_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30856:file_magic_glob='`$ECHO "$file_magic_glob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30857:want_nocaseglob='`$ECHO "$want_nocaseglob" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30858:sharedlib_from_linklib_cmd='`$ECHO "$sharedlib_from_linklib_cmd" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30859:AR='`$ECHO "$AR" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30860:AR_FLAGS='`$ECHO "$AR_FLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30861:archiver_list_spec='`$ECHO "$archiver_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30862:STRIP='`$ECHO "$STRIP" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30863:RANLIB='`$ECHO "$RANLIB" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30864:old_postinstall_cmds='`$ECHO "$old_postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30865:old_postuninstall_cmds='`$ECHO "$old_postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30866:old_archive_cmds='`$ECHO "$old_archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30867:lock_old_archive_extraction='`$ECHO "$lock_old_archive_extraction" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30868:CC='`$ECHO "$CC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30869:CFLAGS='`$ECHO "$CFLAGS" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30870:compiler='`$ECHO "$compiler" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30871:GCC='`$ECHO "$GCC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30872:lt_cv_sys_global_symbol_pipe='`$ECHO "$lt_cv_sys_global_symbol_pipe" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30873:lt_cv_sys_global_symbol_to_cdecl='`$ECHO "$lt_cv_sys_global_symbol_to_cdecl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30874:lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30875:lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30876:nm_file_list_spec='`$ECHO "$nm_file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30877:lt_sysroot='`$ECHO "$lt_sysroot" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30878:objdir='`$ECHO "$objdir" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30879:MAGIC_CMD='`$ECHO "$MAGIC_CMD" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30880:lt_prog_compiler_no_builtin_flag='`$ECHO "$lt_prog_compiler_no_builtin_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30881:lt_prog_compiler_pic='`$ECHO "$lt_prog_compiler_pic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30882:lt_prog_compiler_wl='`$ECHO "$lt_prog_compiler_wl" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30883:lt_prog_compiler_static='`$ECHO "$lt_prog_compiler_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30884:lt_cv_prog_compiler_c_o='`$ECHO "$lt_cv_prog_compiler_c_o" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30885:need_locks='`$ECHO "$need_locks" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30886:MANIFEST_TOOL='`$ECHO "$MANIFEST_TOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30887:DSYMUTIL='`$ECHO "$DSYMUTIL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30888:NMEDIT='`$ECHO "$NMEDIT" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30889:LIPO='`$ECHO "$LIPO" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30890:OTOOL='`$ECHO "$OTOOL" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30891:OTOOL64='`$ECHO "$OTOOL64" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30892:libext='`$ECHO "$libext" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30893:shrext_cmds='`$ECHO "$shrext_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30894:extract_expsyms_cmds='`$ECHO "$extract_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30895:archive_cmds_need_lc='`$ECHO "$archive_cmds_need_lc" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30896:enable_shared_with_static_runtimes='`$ECHO "$enable_shared_with_static_runtimes" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30897:export_dynamic_flag_spec='`$ECHO "$export_dynamic_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30898:whole_archive_flag_spec='`$ECHO "$whole_archive_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30899:compiler_needs_object='`$ECHO "$compiler_needs_object" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30900:old_archive_from_new_cmds='`$ECHO "$old_archive_from_new_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30901:old_archive_from_expsyms_cmds='`$ECHO "$old_archive_from_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30902:archive_cmds='`$ECHO "$archive_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30903:archive_expsym_cmds='`$ECHO "$archive_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30904:module_cmds='`$ECHO "$module_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30905:module_expsym_cmds='`$ECHO "$module_expsym_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30906:with_gnu_ld='`$ECHO "$with_gnu_ld" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30907:allow_undefined_flag='`$ECHO "$allow_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30908:no_undefined_flag='`$ECHO "$no_undefined_flag" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30909:hardcode_libdir_flag_spec='`$ECHO "$hardcode_libdir_flag_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30910:hardcode_libdir_separator='`$ECHO "$hardcode_libdir_separator" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30911:hardcode_direct='`$ECHO "$hardcode_direct" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30912:hardcode_direct_absolute='`$ECHO "$hardcode_direct_absolute" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30913:hardcode_minus_L='`$ECHO "$hardcode_minus_L" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30914:hardcode_shlibpath_var='`$ECHO "$hardcode_shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30915:hardcode_automatic='`$ECHO "$hardcode_automatic" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30916:inherit_rpath='`$ECHO "$inherit_rpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30917:link_all_deplibs='`$ECHO "$link_all_deplibs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30918:always_export_symbols='`$ECHO "$always_export_symbols" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30919:export_symbols_cmds='`$ECHO "$export_symbols_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30920:exclude_expsyms='`$ECHO "$exclude_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30921:include_expsyms='`$ECHO "$include_expsyms" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30922:prelink_cmds='`$ECHO "$prelink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30923:postlink_cmds='`$ECHO "$postlink_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30924:file_list_spec='`$ECHO "$file_list_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30925:variables_saved_for_relink='`$ECHO "$variables_saved_for_relink" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30926:need_lib_prefix='`$ECHO "$need_lib_prefix" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30927:need_version='`$ECHO "$need_version" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30928:version_type='`$ECHO "$version_type" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30929:runpath_var='`$ECHO "$runpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30930:shlibpath_var='`$ECHO "$shlibpath_var" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30931:shlibpath_overrides_runpath='`$ECHO "$shlibpath_overrides_runpath" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30932:libname_spec='`$ECHO "$libname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30933:library_names_spec='`$ECHO "$library_names_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30934:soname_spec='`$ECHO "$soname_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30935:install_override_mode='`$ECHO "$install_override_mode" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30936:postinstall_cmds='`$ECHO "$postinstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30937:postuninstall_cmds='`$ECHO "$postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30938:finish_cmds='`$ECHO "$finish_cmds" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30939:finish_eval='`$ECHO "$finish_eval" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30940:hardcode_into_libs='`$ECHO "$hardcode_into_libs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30941:sys_lib_search_path_spec='`$ECHO "$sys_lib_search_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30942:sys_lib_dlsearch_path_spec='`$ECHO "$sys_lib_dlsearch_path_spec" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30943:hardcode_action='`$ECHO "$hardcode_action" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30944:enable_dlopen='`$ECHO "$enable_dlopen" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30945:enable_dlopen_self='`$ECHO "$enable_dlopen_self" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30946:enable_dlopen_self_static='`$ECHO "$enable_dlopen_self_static" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30947:old_striplib='`$ECHO "$old_striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30948:striplib='`$ECHO "$striplib" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30949:compiler_lib_search_dirs='`$ECHO "$compiler_lib_search_dirs" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30950:predep_objects='`$ECHO "$predep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30951:postdep_objects='`$ECHO "$postdep_objects" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30952:predeps='`$ECHO "$predeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30953:postdeps='`$ECHO "$postdeps" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30954:compiler_lib_search_path='`$ECHO "$compiler_lib_search_path" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30955:LD_CXX='`$ECHO "$LD_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30956:LD_F77='`$ECHO "$LD_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30957:LD_FC='`$ECHO "$LD_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30958:reload_flag_CXX='`$ECHO "$reload_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30959:reload_flag_F77='`$ECHO "$reload_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30960:reload_flag_FC='`$ECHO "$reload_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30961:reload_cmds_CXX='`$ECHO "$reload_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30962:reload_cmds_F77='`$ECHO "$reload_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30963:reload_cmds_FC='`$ECHO "$reload_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30964:old_archive_cmds_CXX='`$ECHO "$old_archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30965:old_archive_cmds_F77='`$ECHO "$old_archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30966:old_archive_cmds_FC='`$ECHO "$old_archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30967:compiler_CXX='`$ECHO "$compiler_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30968:compiler_F77='`$ECHO "$compiler_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30969:compiler_FC='`$ECHO "$compiler_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30970:GCC_CXX='`$ECHO "$GCC_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30971:GCC_F77='`$ECHO "$GCC_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30972:GCC_FC='`$ECHO "$GCC_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30973:lt_prog_compiler_no_builtin_flag_CXX='`$ECHO "$lt_prog_compiler_no_builtin_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30974:lt_prog_compiler_no_builtin_flag_F77='`$ECHO "$lt_prog_compiler_no_builtin_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30975:lt_prog_compiler_no_builtin_flag_FC='`$ECHO "$lt_prog_compiler_no_builtin_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30976:lt_prog_compiler_pic_CXX='`$ECHO "$lt_prog_compiler_pic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30977:lt_prog_compiler_pic_F77='`$ECHO "$lt_prog_compiler_pic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30978:lt_prog_compiler_pic_FC='`$ECHO "$lt_prog_compiler_pic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30979:lt_prog_compiler_wl_CXX='`$ECHO "$lt_prog_compiler_wl_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30980:lt_prog_compiler_wl_F77='`$ECHO "$lt_prog_compiler_wl_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30981:lt_prog_compiler_wl_FC='`$ECHO "$lt_prog_compiler_wl_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30982:lt_prog_compiler_static_CXX='`$ECHO "$lt_prog_compiler_static_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30983:lt_prog_compiler_static_F77='`$ECHO "$lt_prog_compiler_static_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30984:lt_prog_compiler_static_FC='`$ECHO "$lt_prog_compiler_static_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30985:lt_cv_prog_compiler_c_o_CXX='`$ECHO "$lt_cv_prog_compiler_c_o_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30986:lt_cv_prog_compiler_c_o_F77='`$ECHO "$lt_cv_prog_compiler_c_o_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30987:lt_cv_prog_compiler_c_o_FC='`$ECHO "$lt_cv_prog_compiler_c_o_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30988:archive_cmds_need_lc_CXX='`$ECHO "$archive_cmds_need_lc_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30989:archive_cmds_need_lc_F77='`$ECHO "$archive_cmds_need_lc_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30990:archive_cmds_need_lc_FC='`$ECHO "$archive_cmds_need_lc_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30991:enable_shared_with_static_runtimes_CXX='`$ECHO "$enable_shared_with_static_runtimes_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30992:enable_shared_with_static_runtimes_F77='`$ECHO "$enable_shared_with_static_runtimes_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30993:enable_shared_with_static_runtimes_FC='`$ECHO "$enable_shared_with_static_runtimes_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30994:export_dynamic_flag_spec_CXX='`$ECHO "$export_dynamic_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30995:export_dynamic_flag_spec_F77='`$ECHO "$export_dynamic_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30996:export_dynamic_flag_spec_FC='`$ECHO "$export_dynamic_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30997:whole_archive_flag_spec_CXX='`$ECHO "$whole_archive_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30998:whole_archive_flag_spec_F77='`$ECHO "$whole_archive_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:30999:whole_archive_flag_spec_FC='`$ECHO "$whole_archive_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31000:compiler_needs_object_CXX='`$ECHO "$compiler_needs_object_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31001:compiler_needs_object_F77='`$ECHO "$compiler_needs_object_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31002:compiler_needs_object_FC='`$ECHO "$compiler_needs_object_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31003:old_archive_from_new_cmds_CXX='`$ECHO "$old_archive_from_new_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31004:old_archive_from_new_cmds_F77='`$ECHO "$old_archive_from_new_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31005:old_archive_from_new_cmds_FC='`$ECHO "$old_archive_from_new_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31006:old_archive_from_expsyms_cmds_CXX='`$ECHO "$old_archive_from_expsyms_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31007:old_archive_from_expsyms_cmds_F77='`$ECHO "$old_archive_from_expsyms_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31008:old_archive_from_expsyms_cmds_FC='`$ECHO "$old_archive_from_expsyms_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31009:archive_cmds_CXX='`$ECHO "$archive_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31010:archive_cmds_F77='`$ECHO "$archive_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31011:archive_cmds_FC='`$ECHO "$archive_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31012:archive_expsym_cmds_CXX='`$ECHO "$archive_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31013:archive_expsym_cmds_F77='`$ECHO "$archive_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31014:archive_expsym_cmds_FC='`$ECHO "$archive_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31015:module_cmds_CXX='`$ECHO "$module_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31016:module_cmds_F77='`$ECHO "$module_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31017:module_cmds_FC='`$ECHO "$module_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31018:module_expsym_cmds_CXX='`$ECHO "$module_expsym_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31019:module_expsym_cmds_F77='`$ECHO "$module_expsym_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31020:module_expsym_cmds_FC='`$ECHO "$module_expsym_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31021:with_gnu_ld_CXX='`$ECHO "$with_gnu_ld_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31022:with_gnu_ld_F77='`$ECHO "$with_gnu_ld_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31023:with_gnu_ld_FC='`$ECHO "$with_gnu_ld_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31024:allow_undefined_flag_CXX='`$ECHO "$allow_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31025:allow_undefined_flag_F77='`$ECHO "$allow_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31026:allow_undefined_flag_FC='`$ECHO "$allow_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31027:no_undefined_flag_CXX='`$ECHO "$no_undefined_flag_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31028:no_undefined_flag_F77='`$ECHO "$no_undefined_flag_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31029:no_undefined_flag_FC='`$ECHO "$no_undefined_flag_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31030:hardcode_libdir_flag_spec_CXX='`$ECHO "$hardcode_libdir_flag_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31031:hardcode_libdir_flag_spec_F77='`$ECHO "$hardcode_libdir_flag_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31032:hardcode_libdir_flag_spec_FC='`$ECHO "$hardcode_libdir_flag_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31033:hardcode_libdir_separator_CXX='`$ECHO "$hardcode_libdir_separator_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31034:hardcode_libdir_separator_F77='`$ECHO "$hardcode_libdir_separator_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31035:hardcode_libdir_separator_FC='`$ECHO "$hardcode_libdir_separator_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31036:hardcode_direct_CXX='`$ECHO "$hardcode_direct_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31037:hardcode_direct_F77='`$ECHO "$hardcode_direct_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31038:hardcode_direct_FC='`$ECHO "$hardcode_direct_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31039:hardcode_direct_absolute_CXX='`$ECHO "$hardcode_direct_absolute_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31040:hardcode_direct_absolute_F77='`$ECHO "$hardcode_direct_absolute_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31041:hardcode_direct_absolute_FC='`$ECHO "$hardcode_direct_absolute_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31042:hardcode_minus_L_CXX='`$ECHO "$hardcode_minus_L_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31043:hardcode_minus_L_F77='`$ECHO "$hardcode_minus_L_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31044:hardcode_minus_L_FC='`$ECHO "$hardcode_minus_L_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31045:hardcode_shlibpath_var_CXX='`$ECHO "$hardcode_shlibpath_var_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31046:hardcode_shlibpath_var_F77='`$ECHO "$hardcode_shlibpath_var_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31047:hardcode_shlibpath_var_FC='`$ECHO "$hardcode_shlibpath_var_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31048:hardcode_automatic_CXX='`$ECHO "$hardcode_automatic_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31049:hardcode_automatic_F77='`$ECHO "$hardcode_automatic_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31050:hardcode_automatic_FC='`$ECHO "$hardcode_automatic_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31051:inherit_rpath_CXX='`$ECHO "$inherit_rpath_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31052:inherit_rpath_F77='`$ECHO "$inherit_rpath_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31053:inherit_rpath_FC='`$ECHO "$inherit_rpath_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31054:link_all_deplibs_CXX='`$ECHO "$link_all_deplibs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31055:link_all_deplibs_F77='`$ECHO "$link_all_deplibs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31056:link_all_deplibs_FC='`$ECHO "$link_all_deplibs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31057:always_export_symbols_CXX='`$ECHO "$always_export_symbols_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31058:always_export_symbols_F77='`$ECHO "$always_export_symbols_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31059:always_export_symbols_FC='`$ECHO "$always_export_symbols_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31060:export_symbols_cmds_CXX='`$ECHO "$export_symbols_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31061:export_symbols_cmds_F77='`$ECHO "$export_symbols_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31062:export_symbols_cmds_FC='`$ECHO "$export_symbols_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31063:exclude_expsyms_CXX='`$ECHO "$exclude_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31064:exclude_expsyms_F77='`$ECHO "$exclude_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31065:exclude_expsyms_FC='`$ECHO "$exclude_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31066:include_expsyms_CXX='`$ECHO "$include_expsyms_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31067:include_expsyms_F77='`$ECHO "$include_expsyms_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31068:include_expsyms_FC='`$ECHO "$include_expsyms_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31069:prelink_cmds_CXX='`$ECHO "$prelink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31070:prelink_cmds_F77='`$ECHO "$prelink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31071:prelink_cmds_FC='`$ECHO "$prelink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31072:postlink_cmds_CXX='`$ECHO "$postlink_cmds_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31073:postlink_cmds_F77='`$ECHO "$postlink_cmds_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31074:postlink_cmds_FC='`$ECHO "$postlink_cmds_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31075:file_list_spec_CXX='`$ECHO "$file_list_spec_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31076:file_list_spec_F77='`$ECHO "$file_list_spec_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31077:file_list_spec_FC='`$ECHO "$file_list_spec_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31078:hardcode_action_CXX='`$ECHO "$hardcode_action_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31079:hardcode_action_F77='`$ECHO "$hardcode_action_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31080:hardcode_action_FC='`$ECHO "$hardcode_action_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31081:compiler_lib_search_dirs_CXX='`$ECHO "$compiler_lib_search_dirs_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31082:compiler_lib_search_dirs_F77='`$ECHO "$compiler_lib_search_dirs_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31083:compiler_lib_search_dirs_FC='`$ECHO "$compiler_lib_search_dirs_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31084:predep_objects_CXX='`$ECHO "$predep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31085:predep_objects_F77='`$ECHO "$predep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31086:predep_objects_FC='`$ECHO "$predep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31087:postdep_objects_CXX='`$ECHO "$postdep_objects_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31088:postdep_objects_F77='`$ECHO "$postdep_objects_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31089:postdep_objects_FC='`$ECHO "$postdep_objects_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31090:predeps_CXX='`$ECHO "$predeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31091:predeps_F77='`$ECHO "$predeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31092:predeps_FC='`$ECHO "$predeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31093:postdeps_CXX='`$ECHO "$postdeps_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31094:postdeps_F77='`$ECHO "$postdeps_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31095:postdeps_FC='`$ECHO "$postdeps_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31096:compiler_lib_search_path_CXX='`$ECHO "$compiler_lib_search_path_CXX" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31097:compiler_lib_search_path_F77='`$ECHO "$compiler_lib_search_path_F77" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure:31098:compiler_lib_search_path_FC='`$ECHO "$compiler_lib_search_path_FC" | $SED "$delay_single_quote_subst"`'
v-sim-3.7.2/configure-31099-
##############################################
v-sim-3.7.2/configure-31259-compiler_lib_search_path_FC; do
v-sim-3.7.2/configure:31260:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/configure-31261-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/configure:31262:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
v-sim-3.7.2/configure-31263-      ;;
##############################################
v-sim-3.7.2/configure-31322-postlink_cmds_FC; do
v-sim-3.7.2/configure:31323:    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
v-sim-3.7.2/configure-31324-    *[\\\\\\\`\\"\\\$]*)
v-sim-3.7.2/configure:31325:      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
v-sim-3.7.2/configure-31326-      ;;
##############################################
v-sim-3.7.2/configure-31451-fi
v-sim-3.7.2/configure:31452:ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
v-sim-3.7.2/configure-31453-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
##############################################
v-sim-3.7.2/configure-31468-  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
v-sim-3.7.2/configure:31469:ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
v-sim-3.7.2/configure-31470-ac_delim='%!_!# '
##############################################
v-sim-3.7.2/configure-31474-
v-sim-3.7.2/configure:31475:  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
v-sim-3.7.2/configure-31476-  if test $ac_delim_n = $ac_delim_num; then
##############################################
v-sim-3.7.2/configure-31612-for ac_last_try in false false :; do
v-sim-3.7.2/configure:31613:  ac_tt=`sed -n "/$ac_delim/p" confdefs.h`
v-sim-3.7.2/configure-31614-  if test -z "$ac_tt"; then
##############################################
v-sim-3.7.2/configure-31744-      esac
v-sim-3.7.2/configure:31745:      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
v-sim-3.7.2/configure-31746-      as_fn_append ac_file_inputs " '$ac_f'"
##############################################
v-sim-3.7.2/configure-31803-*)
v-sim-3.7.2/configure:31804:  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
v-sim-3.7.2/configure-31805-  # A ".." for each directory in $ac_dir_suffix.
v-sim-3.7.2/configure:31806:  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
v-sim-3.7.2/configure-31807-  case $ac_top_builddir_sub in
##############################################
v-sim-3.7.2/configure-31864-/@mandir@/p'
v-sim-3.7.2/configure:31865:case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
v-sim-3.7.2/configure-31866-*datarootdir*) ac_datarootdir_seen=yes;;
##############################################
v-sim-3.7.2/configure-31881-
v-sim-3.7.2/configure:31882:# Neutralize VPATH when `$srcdir' = `.'.
v-sim-3.7.2/configure-31883-# Shell code in configure.ac might set extrasub.
##############################################
v-sim-3.7.2/configure-31909-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
v-sim-3.7.2/configure:31910:  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
v-sim-3.7.2/configure-31911-  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' \
##############################################
v-sim-3.7.2/configure-31955-    * )
v-sim-3.7.2/configure:31956:      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
v-sim-3.7.2/configure-31957-  esac
##############################################
v-sim-3.7.2/configure-32002-    # Strip MF so we end up with the name of the file.
v-sim-3.7.2/configure:32003:    mf=`echo "$mf" | sed -e 's/:.*$//'`
v-sim-3.7.2/configure-32004-    # Check whether this is an Automake generated Makefile or not.
##############################################
v-sim-3.7.2/configure-32039-    # from the Makefile without running `make'.
v-sim-3.7.2/configure:32040:    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
v-sim-3.7.2/configure-32041-    test -z "$DEPDIR" && continue
v-sim-3.7.2/configure:32042:    am__include=`sed -n 's/^am__include = //p' < "$mf"`
v-sim-3.7.2/configure-32043-    test -z "am__include" && continue
v-sim-3.7.2/configure:32044:    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
v-sim-3.7.2/configure-32045-    # When using ansi2knr, U may be empty or an underscore; expand it
v-sim-3.7.2/configure:32046:    U=`sed -n 's/^U = //p' < "$mf"`
v-sim-3.7.2/configure-32047-    # Find all dependency output files, they are included files with
##############################################
v-sim-3.7.2/configure-32100-
v-sim-3.7.2/configure:32101:# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
v-sim-3.7.2/configure-32102-# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
##############################################
v-sim-3.7.2/configure.ac-275-  AM_CHECK_PYTHON_HEADERS(,[AC_MSG_ERROR(could not find Python headers)])
v-sim-3.7.2/configure.ac:276:  py_prefix=`$PYTHON -c "import sys; print(sys.prefix)"`
v-sim-3.7.2/configure.ac-277-  PYTHON_INCLUDES="-I${py_prefix}/include/python${PYTHON_VERSION}"
##############################################
v-sim-3.7.2/configure.ac-455-  AC_MSG_CHECKING(for pygobject overrides directory)
v-sim-3.7.2/configure.ac:456:  overrides_dir="`$PYTHON -c 'import gi; print(gi._overridesdir)' 2>/dev/null`"
v-sim-3.7.2/configure.ac-457-  # fallback if the previous failed