=========================================================== .___ __ __ _________________ __ __ __| _/|__|/ |_ / ___\_` __ \__ \ | | \/ __ | | \\_ __\ / /_/ > | \// __ \| | / /_/ | | || | \___ /|__| (____ /____/\____ | |__||__| /_____/ \/ \/ grep rough audit - static analysis tool v2.8 written by @Wireghoul =================================[justanotherhacker.com]=== yosys-0.9/README.md-429- yosys-0.9/README.md:430:- The system tasks ``$finish``, ``$stop`` and ``$display`` are supported in yosys-0.9/README.md-431- initial blocks in an unconditional context (only if/case statements on ############################################## yosys-0.9/README.md-435-- There is limited support for converting specify .. endspecify statements to yosys-0.9/README.md:436: special ``$specify2``, ``$specify3``, and ``$specrule`` cells, for use in yosys-0.9/README.md-437- blackboxes and whiteboxes. Use ``read_verilog -specify`` to enable this ############################################## yosys-0.9/README.md-446- yosys-0.9/README.md:447:- The system task ``$initstate`` evaluates to 1 in the initial state and yosys-0.9/README.md-448- to 0 otherwise. yosys-0.9/README.md-449- yosys-0.9/README.md:450:- The system function ``$anyconst`` evaluates to any constant value. This is yosys-0.9/README.md-451- equivalent to declaring a reg as ``rand const``, but also works outside ############################################## yosys-0.9/README.md-453- yosys-0.9/README.md:454:- The system function ``$anyseq`` evaluates to any value, possibly a different yosys-0.9/README.md-455- value in each cycle. This is equivalent to declaring a reg as ``rand``, ############################################## yosys-0.9/README.md-458- yosys-0.9/README.md:459:- The system functions ``$allconst`` and ``$allseq`` can be used to construct yosys-0.9/README.md-460- formal exist-forall problems. Assumptions only hold if the trace satisfies yosys-0.9/README.md:461: the assumption for all ``$allconst/$allseq`` values. For assertions and cover yosys-0.9/README.md:462: statements it is sufficient if just one ``$allconst/$allseq`` value triggers yosys-0.9/README.md:463: the property (similar to ``$anyconst/$anyseq``). yosys-0.9/README.md-464- ############################################## yosys-0.9/README.md-466- (for example ``(* anyconst *) reg [7:0] foobar;``) will behave as if driven yosys-0.9/README.md:467: by a ``$anyconst/$anyseq/$allconst/$allseq`` function. yosys-0.9/README.md-468- yosys-0.9/README.md:469:- The SystemVerilog tasks ``$past``, ``$stable``, ``$rose`` and ``$fell`` are yosys-0.9/README.md-470- supported in any clocked block. yosys-0.9/README.md-471- yosys-0.9/README.md:472:- The syntax ``@($global_clock)`` can be used to create FFs that have no yosys-0.9/README.md:473: explicit clock input (``$ff`` cells). The same can be achieved by using yosys-0.9/README.md-474- ``@(posedge <netname>)`` or ``@(negedge <netname>)`` when ``<netname>`` ############################################## yosys-0.9/README.md-485- form. In module context: ``assert property (<expression>);`` and within an yosys-0.9/README.md:486: always block: ``assert(<expression>);``. It is transformed to an ``$assert`` cell. yosys-0.9/README.md-487- ############################################## yosys-0.9/backends/btor/btor.cc-688- continue; yosys-0.9/backends/btor/btor.cc:689: Const thisaddr(i, abits); yosys-0.9/backends/btor/btor.cc-690- int nid_thisword = get_sig_nid(thisword); ############################################## yosys-0.9/backends/firrtl/firrtl.cc-120- RTLIL::SigSpec addr; yosys-0.9/backends/firrtl/firrtl.cc:121: read_port(string name, bool clk_enable, bool clk_parity, bool transparent, RTLIL::SigSpec clk, RTLIL::SigSpec ena, RTLIL::SigSpec addr) : name(name), clk_enable(clk_enable), clk_parity(clk_parity), transparent(transparent), clk(clk), ena(ena), addr(addr) { yosys-0.9/backends/firrtl/firrtl.cc-122- // Current (3/13/2019) conventions: ############################################## yosys-0.9/examples/intel/DE2i-150/quartus_compile/runme_quartus-6- quartus_fit -c $REV top && \ yosys-0.9/examples/intel/DE2i-150/quartus_compile/runme_quartus:7: quartus_asm -c $REV top ############################################## yosys-0.9/kernel/log.cc-430- yosys-0.9/kernel/log.cc:431: if ((p = __builtin_extract_return_addr(__builtin_return_address(0))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-432- log("%sframe #1: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-442-#else yosys-0.9/kernel/log.cc:443: if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-444- log("%sframe #2: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-451- yosys-0.9/kernel/log.cc:452: if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-453- log("%sframe #3: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-460- yosys-0.9/kernel/log.cc:461: if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-462- log("%sframe #4: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-469- yosys-0.9/kernel/log.cc:470: if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-471- log("%sframe #5: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-478- yosys-0.9/kernel/log.cc:479: if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-480- log("%sframe #6: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-487- yosys-0.9/kernel/log.cc:488: if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-489- log("%sframe #7: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-496- yosys-0.9/kernel/log.cc:497: if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-498- log("%sframe #8: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/kernel/log.cc-505- yosys-0.9/kernel/log.cc:506: if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) { yosys-0.9/kernel/log.cc-507- log("%sframe #9: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/manual/CHAPTER_CellLib.tex-445-d-type flip-flops with asynchronous resets. The values in the table for these cell types relate to the yosys-0.9/manual/CHAPTER_CellLib.tex:446:following Verilog code template, where \lstinline[mathescape,language=Verilog];$RstEdge$; is \lstinline[language=Verilog];posedge; yosys-0.9/manual/CHAPTER_CellLib.tex-447-if \lstinline[mathescape,language=Verilog];$RstLvl$; if \lstinline[language=Verilog];1;, and \lstinline[language=Verilog];negedge; ############################################## yosys-0.9/passes/memory/memory_share.cc-353- yosys-0.9/passes/memory/memory_share.cc:354: void consolidate_wr_by_addr(std::string memid, std::vector<RTLIL::Cell*> &wr_ports) yosys-0.9/passes/memory/memory_share.cc-355- { ############################################## yosys-0.9/passes/memory/memory_share.cc-704- translate_rd_feedback_to_en(it.first, it.second.first, it.second.second); yosys-0.9/passes/memory/memory_share.cc:705: consolidate_wr_by_addr(it.first, it.second.second); yosys-0.9/passes/memory/memory_share.cc-706- } ############################################## yosys-0.9/passes/pmgen/pmgen.py-355- print(" Const param(Cell *cell, IdString paramname) {", file=f) yosys-0.9/passes/pmgen/pmgen.py:356: print(" return cell->getParam(paramname);", file=f) yosys-0.9/passes/pmgen/pmgen.py-357- print(" }", file=f) ############################################## yosys-0.9/techlibs/anlogic/drams_map.v-15- .di(B1DATA), yosys-0.9/techlibs/anlogic/drams_map.v:16: .waddr(B1ADDR), yosys-0.9/techlibs/anlogic/drams_map.v-17- .wclk(CLK1), yosys-0.9/techlibs/anlogic/drams_map.v-18- .we(B1EN), yosys-0.9/techlibs/anlogic/drams_map.v:19: .raddr(A1ADDR), yosys-0.9/techlibs/anlogic/drams_map.v-20- .do(A1DATA) ############################################## yosys-0.9/techlibs/common/techmap.v-131- yosys-0.9/techlibs/common/techmap.v:132: localparam BB_WIDTH = `MIN($clog2(`MAX(A_WIDTH, Y_WIDTH)) + (B_SIGNED ? 2 : 1), B_WIDTH); yosys-0.9/techlibs/common/techmap.v-133- localparam WIDTH = `MAX(A_WIDTH, Y_WIDTH) + (B_SIGNED ? 2**(BB_WIDTH-1) : 0); ############################################## yosys-0.9/techlibs/ice40/brams_map.v-58- .RE (RE ), yosys-0.9/techlibs/ice40/brams_map.v:59: .RADDR(RADDR), yosys-0.9/techlibs/ice40/brams_map.v-60- .WCLK (WCLK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-62- .WE (WE ), yosys-0.9/techlibs/ice40/brams_map.v:63: .WADDR(WADDR), yosys-0.9/techlibs/ice40/brams_map.v-64- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-91- .RE (RE ), yosys-0.9/techlibs/ice40/brams_map.v:92: .RADDR(RADDR), yosys-0.9/techlibs/ice40/brams_map.v-93- .WCLKN(WCLK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-95- .WE (WE ), yosys-0.9/techlibs/ice40/brams_map.v:96: .WADDR(WADDR), yosys-0.9/techlibs/ice40/brams_map.v-97- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-124- .RE (RE ), yosys-0.9/techlibs/ice40/brams_map.v:125: .RADDR(RADDR), yosys-0.9/techlibs/ice40/brams_map.v-126- .WCLK (WCLK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-128- .WE (WE ), yosys-0.9/techlibs/ice40/brams_map.v:129: .WADDR(WADDR), yosys-0.9/techlibs/ice40/brams_map.v-130- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-157- .RE (RE ), yosys-0.9/techlibs/ice40/brams_map.v:158: .RADDR(RADDR), yosys-0.9/techlibs/ice40/brams_map.v-159- .WCLKN(WCLK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-161- .WE (WE ), yosys-0.9/techlibs/ice40/brams_map.v:162: .WADDR(WADDR), yosys-0.9/techlibs/ice40/brams_map.v-163- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-213- .RDATA(A1DATA), yosys-0.9/techlibs/ice40/brams_map.v:214: .RADDR(A1ADDR_11), yosys-0.9/techlibs/ice40/brams_map.v-215- .RCLK(CLK2), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-218- .WDATA(B1DATA), yosys-0.9/techlibs/ice40/brams_map.v:219: .WADDR(B1ADDR_11), yosys-0.9/techlibs/ice40/brams_map.v-220- .MASK(~B1EN), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-299- .RDATA(A1DATA_16), yosys-0.9/techlibs/ice40/brams_map.v:300: .RADDR(A1ADDR_11), yosys-0.9/techlibs/ice40/brams_map.v-301- .RCLK(CLK2), ############################################## yosys-0.9/techlibs/ice40/brams_map.v-304- .WDATA(B1DATA_16), yosys-0.9/techlibs/ice40/brams_map.v:305: .WADDR(B1ADDR_11), yosys-0.9/techlibs/ice40/brams_map.v-306- .WCLK(CLK3), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-528- .RE (RE ), yosys-0.9/techlibs/ice40/cells_sim.v:529: .RADDR(RADDR), yosys-0.9/techlibs/ice40/cells_sim.v-530- .WCLK (WCLK ), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-532- .WE (WE ), yosys-0.9/techlibs/ice40/cells_sim.v:533: .WADDR(WADDR), yosys-0.9/techlibs/ice40/cells_sim.v-534- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-593- .RE (RE ), yosys-0.9/techlibs/ice40/cells_sim.v:594: .RADDR(RADDR), yosys-0.9/techlibs/ice40/cells_sim.v-595- .WCLK (~WCLKN), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-597- .WE (WE ), yosys-0.9/techlibs/ice40/cells_sim.v:598: .WADDR(WADDR), yosys-0.9/techlibs/ice40/cells_sim.v-599- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-658- .RE (RE ), yosys-0.9/techlibs/ice40/cells_sim.v:659: .RADDR(RADDR), yosys-0.9/techlibs/ice40/cells_sim.v-660- .WCLK (~WCLKN), ############################################## yosys-0.9/techlibs/ice40/cells_sim.v-662- .WE (WE ), yosys-0.9/techlibs/ice40/cells_sim.v:663: .WADDR(WADDR), yosys-0.9/techlibs/ice40/cells_sim.v-664- .MASK (MASK ), ############################################## yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-13- .clk (clk ), yosys-0.9/techlibs/ice40/tests/test_bram_tb.v:14: .WR_ADDR(WR_ADDR), yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-15- .WR_DATA(WR_DATA), yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-16- .WR_EN (WR_EN ), yosys-0.9/techlibs/ice40/tests/test_bram_tb.v:17: .RD_ADDR(RD_ADDR), yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-18- .RD_DATA(RD_DATA) ############################################## yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-35- yosys-0.9/techlibs/ice40/tests/test_bram_tb.v:36: function [31:0] getaddr(input [3:0] n); yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-37- begin ############################################## yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-87- yosys-0.9/techlibs/ice40/tests/test_bram_tb.v:88: WR_ADDR = getaddr(i < 256 ? i[7:4] : xorshift64_state[63:60]); yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-89- xorshift64_next; yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-90- yosys-0.9/techlibs/ice40/tests/test_bram_tb.v:91: RD_ADDR = i == 0 ? INIT_ADDR : getaddr(i < 256 ? i[3:0] : xorshift64_state[59:56]); yosys-0.9/techlibs/ice40/tests/test_bram_tb.v-92- WR_EN = xorshift64_state[55] && ((WR_ADDR & 'hff) != (RD_ADDR & 'hff)); ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-48- yosys-0.9/techlibs/xilinx/brams_map.v:49: .ADDRARDADDR(A1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-50- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-56- yosys-0.9/techlibs/xilinx/brams_map.v:57: .ADDRBWRADDR(B1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-58- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-113- yosys-0.9/techlibs/xilinx/brams_map.v:114: .ADDRARDADDR(A1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-115- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-121- yosys-0.9/techlibs/xilinx/brams_map.v:122: .ADDRBWRADDR(B1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-123- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-184- .DOPADOP(DOP[3:0]), yosys-0.9/techlibs/xilinx/brams_map.v:185: .ADDRARDADDR(A1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-186- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-196- .DOPBDOP(DOPBDOP), yosys-0.9/techlibs/xilinx/brams_map.v:197: .ADDRBWRADDR(B1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-198- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-222- .DOPADOP(DOP[3:0]), yosys-0.9/techlibs/xilinx/brams_map.v:223: .ADDRARDADDR(A1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-224- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-234- .DOPBDOP(DOPBDOP), yosys-0.9/techlibs/xilinx/brams_map.v:235: .ADDRBWRADDR(B1ADDR_16), yosys-0.9/techlibs/xilinx/brams_map.v-236- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-298- .DOPADOP(DOP), yosys-0.9/techlibs/xilinx/brams_map.v:299: .ADDRARDADDR(A1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-300- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-310- .DOPBDOP(DOPBDOP), yosys-0.9/techlibs/xilinx/brams_map.v:311: .ADDRBWRADDR(B1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-312- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-336- .DOPADOP(DOP), yosys-0.9/techlibs/xilinx/brams_map.v:337: .ADDRARDADDR(A1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-338- .CLKARDCLK(CLK2), ############################################## yosys-0.9/techlibs/xilinx/brams_map.v-348- .DOPBDOP(DOPBDOP), yosys-0.9/techlibs/xilinx/brams_map.v:349: .ADDRBWRADDR(B1ADDR_14), yosys-0.9/techlibs/xilinx/brams_map.v-350- .CLKBWRCLK(CLK3), ############################################## yosys-0.9/techlibs/xilinx/tests/bram1.sh-18- if [ $(( (1 << $abits) * $dbits )) -gt 1000000 ]; then continue; fi yosys-0.9/techlibs/xilinx/tests/bram1.sh:19: id=`printf "%d%02d%02d" $transp $abits $dbits` yosys-0.9/techlibs/xilinx/tests/bram1.sh-20- echo "Creating bram1_$id.." ############################################## yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-26- .clk (clk ), yosys-0.9/techlibs/xilinx/tests/bram1_tb.v:27: .WR_ADDR(WR_ADDR), yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-28- .WR_DATA(WR_DATA), yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-29- .WR_EN (WR_EN ), yosys-0.9/techlibs/xilinx/tests/bram1_tb.v:30: .RD_ADDR(RD_ADDR), yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-31- .RD_DATA(RD_DATA) ############################################## yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-48- yosys-0.9/techlibs/xilinx/tests/bram1_tb.v:49: function [31:0] getaddr(input [3:0] n); yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-50- begin ############################################## yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-122- xorshift64_next; yosys-0.9/techlibs/xilinx/tests/bram1_tb.v:123: WR_ADDR <= getaddr(i < 256 ? i[7:4] : xorshift64_state[63:60]); yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-124- xorshift64_next; yosys-0.9/techlibs/xilinx/tests/bram1_tb.v:125: RD_ADDR <= getaddr(i < 256 ? i[3:0] : xorshift64_state[59:56]); yosys-0.9/techlibs/xilinx/tests/bram1_tb.v-126- WR_EN <= xorshift64_state[55]; ############################################## yosys-0.9/techlibs/xilinx/tests/bram2_tb.v-25- .rd_clk (rd_clk ), yosys-0.9/techlibs/xilinx/tests/bram2_tb.v:26: .rd_addr (rd_addr ), yosys-0.9/techlibs/xilinx/tests/bram2_tb.v-27- .rd_data (rd_data ), ############################################## yosys-0.9/techlibs/xilinx/tests/bram2_tb.v-29- .wr_enable(wr_enable), yosys-0.9/techlibs/xilinx/tests/bram2_tb.v:30: .wr_addr (wr_addr ), yosys-0.9/techlibs/xilinx/tests/bram2_tb.v-31- .wr_data (wr_data ) ############################################## yosys-0.9/tests/techmap/mem_simple_4x1_map.v-86- .CLK(RD_CLK), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:87: .RD_ADDR(RD_ADDR), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-88- .RD_DATA(RD_DATA[i]), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:89: .WR_ADDR(WR_ADDR), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-90- .WR_DATA(WR_DATA[i]), ############################################## yosys-0.9/tests/techmap/mem_simple_4x1_map.v-115- .CLK(CLK), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:116: .RD_ADDR(RD_ADDR[ABITS-2:0]), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-117- .RD_DATA(high_rd_data), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:118: .WR_ADDR(WR_ADDR[ABITS-2:0]), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-119- .WR_DATA(WR_DATA), ############################################## yosys-0.9/tests/techmap/mem_simple_4x1_map.v-129- .CLK(CLK), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:130: .RD_ADDR(RD_ADDR[ABITS-2:0]), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-131- .RD_DATA(low_rd_data), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:132: .WR_ADDR(WR_ADDR[ABITS-2:0]), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-133- .WR_DATA(WR_DATA), ############################################## yosys-0.9/tests/techmap/mem_simple_4x1_map.v-142- .CLK(CLK), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:143: .RD_ADDR(RD_ADDR), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-144- .RD_DATA(RD_DATA), yosys-0.9/tests/techmap/mem_simple_4x1_map.v:145: .WR_ADDR(WR_ADDR), yosys-0.9/tests/techmap/mem_simple_4x1_map.v-146- .WR_DATA(WR_DATA), ############################################## yosys-0.9/tests/vloghtb/common.sh-2-{ yosys-0.9/tests/vloghtb/common.sh:3: printf "%-15s %s %s %s\n" "$1" "$2" "`printf "%20s" "$2" | tr -d a-zA-Z0-9_ | tr ' ' .`" "pass." yosys-0.9/tests/vloghtb/common.sh-4-} ############################################## yosys-0.9/tests/vloghtb/common.sh-7-{ yosys-0.9/tests/vloghtb/common.sh:8: printf "%-15s %s %s %s\n" "$1" "$2" "`printf "%20s" "$2" | tr -d a-zA-Z0-9_ | tr ' ' .`" "FAIL." yosys-0.9/tests/vloghtb/common.sh-9-} ############################################## yosys-0.9/.pc/01_gitrevision.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/01_gitrevision.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/01_gitrevision.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/01_gitrevision.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/01_gitrevision.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/01_gitrevision.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/01_gitrevision.patch/Makefile-811- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/01_gitrevision.patch/Makefile:812: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/01_gitrevision.patch/Makefile-813- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/02_removeabc.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/02_removeabc.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/02_removeabc.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/02_removeabc.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/02_removeabc.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/02_removeabc.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/02_removeabc.patch/Makefile-811- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/02_removeabc.patch/Makefile:812: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/02_removeabc.patch/Makefile-813- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-430- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:431: if ((p = __builtin_extract_return_addr(__builtin_return_address(0))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-432- log("%sframe #1: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-442-#else yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:443: if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-444- log("%sframe #2: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-451- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:452: if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-453- log("%sframe #3: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-460- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:461: if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-462- log("%sframe #4: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-469- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:470: if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-471- log("%sframe #5: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-478- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:479: if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-480- log("%sframe #6: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-487- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:488: if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-489- log("%sframe #7: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-496- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:497: if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-498- log("%sframe #8: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-505- yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc:506: if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) { yosys-0.9/.pc/kfreebsd-support.patch/kernel/log.cc-507- log("%sframe #9: %p %s(%p) %s(%p)\n", prefix, p, dli.dli_fname, dli.dli_fbase, dli.dli_sname, dli.dli_saddr); ############################################## yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile-811- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile:812: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/0007-Disable-pretty-build.patch/Makefile-813- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile-811- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile:812: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch/Makefile-813- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile-811- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile:812: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/0011-Do-not-show-g-build-flags-in-Version-string.patch/Makefile-813- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile-812- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile:813: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch/Makefile-814- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile-812- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile:813: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/.pc/0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch/Makefile-814- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt ############################################## yosys-0.9/Makefile-310-BOOST_PYTHON_LIB ?= $(shell \ yosys-0.9/Makefile:311: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/Makefile:312: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/Makefile:313: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \ yosys-0.9/Makefile:314: if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \ yosys-0.9/Makefile-315- echo ""; fi; fi; fi; fi;) ############################################## yosys-0.9/Makefile-808- rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} yosys-0.9/Makefile:809: set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ yosys-0.9/Makefile-810- echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt